Abstract

Industry has made significant progress advancing EUVL technology the past several years leading to its full adoption for N7 node production. To extend EUVL technology well into 3nm node and beyond, a new high-NA EUV platform is needed. These new EUVL scanner platforms plan to use anamorphic optics with 0.55NA, which introduces several additional mask related imaging complications. Current scanners have light incidence of 6°, while the new 0.55NA systems will use < 6° incidence. At mask level, the combination of EUV light at oblique incidence, absorber thickness, and non-uniform mirror reflectance through incidence angle, creates mask-induced imaging aberrations, known as mask 3D effects (M3D). Additionally, these high NA systems will have non-telecentricity, which has shown to cause H-V bias due to shadowing, pattern shift through focus, and image contrast loss due to apodization by the reflective mask coatings. All these factors point to the need of thinner EUV mask absorber, which will dramatically reduce these effects. A possible mitigation for the M3D effects is to use mask absorber materials with high extinction coefficient κ and refractive coefficient n close to unity. Current EUV masks use Ta-based absorber on top of MoSi reflective multilayer. Multiple studies have shown that optical constants for the 60nm Ta-based absorber thickness at EUV wavelength do not provide optimal wafer imaging for high NA platforms. Alternative absorbers with higher absorptivity than Ta, such as Ni, Co among others have been proposed and, from simulation work, have shown improved high NA imaging at < 40nm thickness. The replacement of Ta-based absorber by new alternative material is an arduous task for the mask industry. The new absorber material must not only meet improved imaging criteria, but it must also meet required material properties which makes its compatibility with different aspects of mask blank and mask manufacturing process very critical. In this work, we have embarked on alternative thin absorber material evaluation and characterization studies to find and assess viability of new absorber material, which best meets EUV mask fabrication requirements for impending N3 and below advanced semiconductor device nodes. While number of high-k materials were considered and evaluated, material selection narrowed our focus on one unique composite material, which met our critical requirements of low EUV reflectivity, fast etching rate and high cleaning durability. Material evaluation consisted of studying mask process modules from absorber etch, ebeam patterning capability, post-exposure processing and cleaning, defect Inspection, and finally EUV wafer printability. In these studies, we also evaluated this new material for critical mask patterning performance looking at key metrics such as resolution, CD linearity, proximity, control (targeting and uniformity), and LER/LWR. We also characterized pattern fidelity looking at complex mask designs for 5nm node and beyond using internally developed advanced mask characterization methodology emphasizing 2D pattern characterization including sidewall angle (SWA) assessment of patterned absorber.

Full Text
Paper version not known

Talk to us

Join us for a 30 min session where you can share your feedback and ask us any queries you have

Schedule a call

Disclaimer: All third-party content on this website/platform is and will remain the property of their respective owners and is provided on "as is" basis without any warranties, express or implied. Use of third-party content does not indicate any affiliation, sponsorship with or endorsement by them. Any references to third-party content is to identify the corresponding services and shall be considered fair use under The CopyrightLaw.