Abstract

Deep reactive-ion etching (DRIE) is commonly used for high aspect ratio silicon micromachining. However, scalloping, which is the result of the alternating Bosch process of DRIE, can cause many problems in the subsequent process and degrade device performance. In this work, we propose a simple and effective method to smoothen the scalloping of DRIE trenches. The proposed method utilizes sidewall dry etching by reactive-ion etching (RIE) based sulfur hexafluoride (SF6) plasmas, following the DRIE process. To investigate the effect of the etch parameter on the scallop smoothing effect, the radio frequency (RF) power and gas flow are controlled. After the RIE treatment, the scallop smoothing effects were evaluated by measuring the average scallop depth under each condition. The scallop depth was reduced by 91% after implementing the scallop smoothing technique using RIE. Thus, our smoothening method based on SF6 plasmas would provide broad availabilities and applicability in silicon micromachining with the simple low-temperature process.

Highlights

  • Deep reactive-ion etching (DRIE) is the most popular dry etching process used to create deep trenches and holes for various applications such as micromachining of micro-electromechanical systems (MEMS) and throughsilicon via (TSV) for three-dimensional (3D) packaging [1]

  • The repeated etch/deposit steps in DRIE essentially create scalloped sidewalls in trenches, and this degrades the performance of MEMS devices

  • The slope of the scalloped sidewall formed an angle of ~ 64° with the surface plane (Fig. 4a), and this morphology is related to the crystal orientation

Read more

Summary

Introduction

Deep reactive-ion etching (DRIE) is the most popular dry etching process used to create deep trenches and holes for various applications such as micromachining of micro-electromechanical systems (MEMS) and throughsilicon via (TSV) for three-dimensional (3D) packaging [1]. The DRIE process is known as the Bosch process steps that are pulsed and time-multiplexed etching. In the Bosch process, the etching and passivation steps are alternating to achieve vertical trenches [2]. The repeated etch/deposit steps in DRIE essentially create scalloped sidewalls in trenches, and this degrades the performance of MEMS devices. The scalloped sidewall of silicon can cause degrade the. To address these problems, many studies have developed strategies to fabricate scallop-free silicon structures in micromachining. The decrease in the switching time between the etch and passivation steps reduced the scalloping. Another strategy to fabricate scallop-free trenches is incorporating

Methods
Results
Conclusion
Full Text
Paper version not known

Talk to us

Join us for a 30 min session where you can share your feedback and ask us any queries you have

Schedule a call

Disclaimer: All third-party content on this website/platform is and will remain the property of their respective owners and is provided on "as is" basis without any warranties, express or implied. Use of third-party content does not indicate any affiliation, sponsorship with or endorsement by them. Any references to third-party content is to identify the corresponding services and shall be considered fair use under The CopyrightLaw.