Abstract

In the past it has been shown that ultrashallow junctions with minimum lateral dimensions can be made by implanting self-aligned to the contact window and using one-shot excimer laser annealing (ELA) to activate the dopants. Besides the recrystallization of the implanted Si, the final structuring at the contact window perimeter is very important for the ideality of the diode. In this paper this process is has been investigated for 5 keV As+ implanted in windows etched in a thermal/LPCVD oxide layer stack. The window perimeter processing is very critical but tilted implants can be used to increase the junction overlap with the window and good diode characteristics are obtained. The junction depths have been analyzed by secondary ion mass spectrometry (SIMS) and transmission electron microscopy (TEM). A junction of only 15 nm deep with a sheet resistance of 311 Ω/square was obtained for an implantation tilt angle of 45o and laser energy density of 1000 mJ/cm2, whereas the junction depth of 20 nm and sheet resistance of 220 Ω/square was obtained for the tilt of 7o.

Full Text
Published version (Free)

Talk to us

Join us for a 30 min session where you can share your feedback and ask us any queries you have

Schedule a call