Abstract

A novel interconnect-based physical unclonable function, named iPUF, is presented in this paper. The proposed iPUF utilizes the manufacturing process variability of interconnect lines to introduce crosstalk variations for generating PUF signatures. By leveraging the variations of passive interconnects, iPUF minimizes the usage of active CMOS components, providing an increased resiliency against environmental variations and aging, that have become prominent in the advanced CMOS technology nodes. Initiated by a linear feedback shift register (LFSR), iPUF sequentially generates one bit signature at each clock cycle, making it more efficient compared to ring-oscillator PUF. For uniqueness enhancement, a novel self-masking scheme, trained by a given iPUF's own initial signature, is applied for signature generation. To verify the performance of iPUF, Monte-Carlo simulations of 500 samples, with variations following industrial data, are conducted in different operating corners. The uniqueness of the given sample set approaches 48.63% with a 10-bit self-mask. With 10% supply voltage, 0 - 100 degrees Celsius temperature variations, as well as one year of un-accelerated aging, iPUF's reliability values, are as high as 96.09%, 99.06%, and 99.63%, respectively. Finally, iPUF's robustness against various attacks is also proven.

Full Text
Published version (Free)

Talk to us

Join us for a 30 min session where you can share your feedback and ask us any queries you have

Schedule a call