Abstract

This article presents a set of low-temperature deposition and etching processes for the integration of electrochemically deposited Ni-Fe alloys in complex magnetic microelectromechanical systems, as Ni-Fe is known to suffer from detrimental stress development when subjected to excessive thermal loads. A selective etch process is reported which enables the copper seed layer used for electrodeposition to be removed while preserving the integrity of Ni-Fe. In addition, a low temperature deposition and surface micromachining process is presented in which silicon dioxide and silicon nitride are used, respectively, as sacrificial material and structural dielectric. The sacrificial layer can be patterned and removed by wet buffered oxide etch or vapour HF etching. The reported methods limit the thermal budget and minimise the stress development in Ni-Fe. This combination of techniques represents an advance towards the reliable integration of Ni-Fe components in complex surface micromachined magnetic MEMS.

Highlights

  • Deposited alloys of nickel and iron (ECD Ni-Fe) are attractive materials for the fabrication of integrated magnetic microelectromechanical systems (MEMS) [1–4]

  • The work reported addresses these fundamental challenges associated with the integration of ECD Ni-Fe in complex MEMS process flows. The article reports both a wet etching process to selectively remove the electroplating copper seed layer used as nucleation base for Ni-Fe, and a series of deposition and etching techniques that enable the patterning and release of freestanding Ni-Fe structures surrounded by silicon nitride structural dielectric, using silicon oxide as a sacrificial material that can be first patterned and completely removed

  • A set of deposition and etching processes has been developed as a toolkit for the integration of surface micromachined ECD Ni-Fe in complex MEMS devices

Read more

Summary

Introduction

Deposited alloys of nickel and iron (ECD Ni-Fe) are attractive materials for the fabrication of integrated magnetic microelectromechanical systems (MEMS) [1–4]. The work reported addresses these fundamental challenges associated with the integration of ECD Ni-Fe in complex MEMS process flows The article reports both a wet etching process to selectively remove the electroplating copper seed layer used as nucleation base for Ni-Fe, and a series of deposition and etching techniques that enable the patterning and release of freestanding Ni-Fe structures surrounded by silicon nitride structural dielectric, using silicon oxide as a sacrificial material that can be first patterned and completely removed. The development of low temperature deposition processes for structural silicon nitride and sacrificial silicon oxide films is presented, followed by the details of a wet etch process based on Buffered Oxide Etch (BOE) This enables the patterning of relatively thick sacrificial silicon oxide (~2 μm) while preserving the integrity of structural silicon nitride dielectric elements.

Selective
Fabrication
Micrograph
Development of the Selective Etching Process
Theand undesired effects of layer
Sacrificial
Etched
Surface Micromachining with Vapour HF Etch
Test Structures
Release of the Cantilevers
Conclusions
Full Text
Paper version not known

Talk to us

Join us for a 30 min session where you can share your feedback and ask us any queries you have

Schedule a call

Disclaimer: All third-party content on this website/platform is and will remain the property of their respective owners and is provided on "as is" basis without any warranties, express or implied. Use of third-party content does not indicate any affiliation, sponsorship with or endorsement by them. Any references to third-party content is to identify the corresponding services and shall be considered fair use under The CopyrightLaw.