Abstract

For protecting mask from debris, EUV pellicle is considered as a most effective solution. EUV pellicle can avoid contamination on mask by covering mask. Usage of EUV pellicle can reduce mask damage caused by contamination but the pellicle involves transmission loss due to absorption of EUV light. To get high transmission, pellicle made with thin thickness but it can be deformed easily due to weak structure. Deformation of pellicle such as wrinkle leads transmission non-uniformity and transmission non-uniformity will involve CD non-uniformity. For real-application at lithography process, the optical study of deformed pellicle is required to avoid degradation of CD uniformity. In this paper, we discuss transmission non-uniformity with various off-axis-illumination (OAI) conditions. Then we studied CD nonuniformity caused by wrinkled pellicle with various patterns. By increasing spatial coherence, transmission nonuniformity is decrease at small wrinkle region. However, transmission non-uniformity variation is independent with illumination conditions at large wrinkle which has large period. Not only wrinkled pellicle imaging but also CD variation caused by non-uniform transmission is also dependent on illumination conditions. In contrast with transmission nonuniformity, CD non-uniformity with high coherent light is smaller than the result with low coherent light. With all of results, we find that the allowable local tilt angle is varied with wrinkle size and illumination conditions and smallest size of allowable local tilt angle is about 250 mrad for both illuminations.

Full Text
Published version (Free)

Talk to us

Join us for a 30 min session where you can share your feedback and ask us any queries you have

Schedule a call