Abstract

Step and Flash Imprint Lithography (S-FIL) is an attractive method for printing sub-100 nm geometries. Relative to other imprinting processes S-FIL has the advantage that the template is transparent, thereby facilitating conventional overlay techniques. Previous work on S-FIL templates has focused on a chromium and quartz pattern transfer process that is compatible with processes that are currently used in mask shops. It is likely that 1X templates will require electron beam inspection, however, and templates that include buried charge conduction layers may be required. The purpose of this work was to investigate the issues associated with fabricating and inspecting these types of templates. The patterning stack examined included a layer of ZEP520A positive electron beam resist, followed by thin layers of chromium, silicon oxynitride, and indium tin oxide. The chromium layer was needed to avoid laser height sensor problems encountered prior to electron beam exposure. The pattern transfer process was characterized, and CD uniformity was characterized in four quadrants of the photoplate. A prototype electron beam inspection system was then used to inspect an array of programmed defect patterns. Two methods for fabricating templates were considered.

Full Text
Published version (Free)

Talk to us

Join us for a 30 min session where you can share your feedback and ask us any queries you have

Schedule a call