Abstract

Near-threshold computing (NTC) circuits have been shown to offer significant energy efficiency and power benefits, but with a huge performance penalty. In this paper, we demonstrate that 3D IC technology can overcome this limitation. We present a detailed case study with a 28nm commercial-grade core at 0.6V operation optimized with various 3D IC physical design methods. Our study shows that 3D IC NTC design outperforms 2D IC NTC by 29.5% in terms of performance at comparable energy. We also achieve almost 4X energy saving compared with the nominal voltage designs.

Full Text
Published version (Free)

Talk to us

Join us for a 30 min session where you can share your feedback and ask us any queries you have

Schedule a call