Abstract

Intelligent radios collect information by sensing signals within the radio spectrum, and the automatic modulation recognition (AMR) of signals is one of their most challenging tasks. Although the result of a modulation classification based on a deep neural network is better, the training of the neural network requires complicated calculations and expensive hardware. Therefore, in this paper, we propose a master–slave AMR architecture using the reconfigurability of field-programmable gate arrays (FPGAs). First, we discuss the method of building AMR, by using a stack convolution autoencoder (CAE), and analyze the principles of training and classification. Then, on the basis of the radiofrequency network-on-chip architecture, the constraint conditions of AMR in FPGA are proposed from the aspects of computing optimization and memory access optimization. The experimental results not only demonstrated that AMR-based CAEs worked correctly, but also showed that AMR based on neural networks could be implemented on FPGAs, with the potential for dynamic spectrum allocation and cognitive radio systems.

Highlights

  • Intelligent radios collect information by sensing signals within the radio spectrum, including the presence, type, and location of the signals

  • The experimental results demonstrated that automatic modulation recognition (AMR)-based convolution autoencoder (CAE) worked correctly, and showed that AMR based on neural networks could be implemented on field-programmable gate arrays (FPGAs), with the potential for dynamic spectrum allocation and cognitive radio systems

  • BPSK, GFSK,QAM16, QAM16, and and QAM64 at at. In this we we explored a CAE-based classification method

Read more

Summary

Introduction

Intelligent radios collect information by sensing signals within the radio spectrum, including the presence, type, and location of the signals. The cyclic statistics of signals, method is relatively some feature quantities are not complete. Method of recurrent neural mathematical network autoencoder method proposed by O’Shea [14]. Finding a suitable neural network structure requires proficiency in do not need to know the modulation mathematical model of the signal [15]. The autoencoder can obtain the sparse feature of the signal automatically. No recognition can be made for the new modulation type With respect respect such a situation, the proposed adds value the actual project.

Master–slave
Construction of Automatic Modulation Recognition
Signal Characteristics
Recognition of Modulation
Construction Methods
Structure of Stacked CAEs
Training and Classification
Implementation
Components theRFNoC
Vivado and the memory according to IP theofdesign method proposed
Sample codecode for for optimizing loop
Results
Experiment
11. Confusion
Discussion
Full Text
Paper version not known

Talk to us

Join us for a 30 min session where you can share your feedback and ask us any queries you have

Schedule a call

Disclaimer: All third-party content on this website/platform is and will remain the property of their respective owners and is provided on "as is" basis without any warranties, express or implied. Use of third-party content does not indicate any affiliation, sponsorship with or endorsement by them. Any references to third-party content is to identify the corresponding services and shall be considered fair use under The CopyrightLaw.