Abstract

Exploring the resolution performance of various electron beam lithography with the acceleration voltage of 2–100kV showed that line edge roughness (LER) and resolution limit of resist patterns was in linear relation with blur of latent image profile. Reducing resist thickness is effective in decreasing the blur of low-energy electron-beam proximity projection lithography (LEEPL) because 47% of the blur is due to scattering of 2keV electrons in 70-nm-thick resist film. The impact of thinning the resist thickness on the LER and the exposure latitude in LEEPL was investigated by simulation and experiment. A Monte Carlo simulation shows that the LER of a 100-nm-width space pattern decreases to 5.5 from 10.4nm with reducing the resist thickness to 20 from 70nm. Exposing the photosensitive polyorganosilazane resists (Clariant (Japan) K.K.) by LEEPL-3000 underpinned that latent image quality was improved by thinning the resist. The exposure latitude of 80-nm-diameter dense contact holes increased to 25 from 16% with reducing the resist thickness to 30 from 50nm. It is necessary for taking an advantage of the improved latent image of the 30-nm-thick resist in resolving the 90-nm-pitch patterns to mitigate the edge roughness probably caused by the properties of a highly interfacial resist.

Full Text
Paper version not known

Talk to us

Join us for a 30 min session where you can share your feedback and ask us any queries you have

Schedule a call

Disclaimer: All third-party content on this website/platform is and will remain the property of their respective owners and is provided on "as is" basis without any warranties, express or implied. Use of third-party content does not indicate any affiliation, sponsorship with or endorsement by them. Any references to third-party content is to identify the corresponding services and shall be considered fair use under The CopyrightLaw.