Abstract

This study investigated the effects of tungsten (W) film morphology on the chemical mechanical polishing (CMP) of W. Chemical vapor deposited (CVD) W films with two distinctively different grain sizes were used for comparison. During polishing, W film thickness and optical reflectance, friction, and pad temperature were monitored in-situ. It was found that larger-grained W film took longer to pass the initial low removal rate stage. By correlating four different sensor signals, comparing friction dependency on film morphology, in slurry vs. DIW, it was concluded that W CMP comprises three main stages. First is the low rate initiation stage: grain is being partially planarized, reflectance increases, friction decreases. Second is the transition stage: rate is ramping, grain becomes fully planarized, optical reflectance reaches maximum, and friction becomes minimal followed by a significant rise caused by formation of tungsten oxide passivation layer on the planarized W surface. Third is the high and constant rate stage: passivation and removal occur in a repetitive cycle, friction is high and stable, optical reflectance changes as polishing reaches different film depths. In all three stages, pad temperature increases continuously as friction-induced heat dissipates, with the rate of temperature increase following that of friction magnitude.

Full Text
Published version (Free)

Talk to us

Join us for a 30 min session where you can share your feedback and ask us any queries you have

Schedule a call