Abstract

As introduced in the first part of this series, 193nm immersion lithography has three resist-process approaches: resist with a solvent-soluble topcoat, resist with a developer-soluble topcoat, and resist without a topcoat. The mainstream for current processes appears to be the second of these. The topcoat is coated on the resist film and serves as a barrier layer to prevent leaching and water uptake. To be successful, it must fulfill numerous requirements and different experiments have been designed to evaluate the topcoat performance. First, the topcoat and resist stack is tested for leaching to ensure that the topcoat can effectively prevent resist components from leaching into water (see the second part of the series). Next, the topcoat has to be physically and chemically compatible with the resist film underneath. Different combinations of topcoats and resists have been exposed and the process window, line-edge roughness (LER), and pattern profile are measured to identify the most compelling combination. Finally, the process parameters of the best combination of topcoat and resist need to be optimized. Specifically, the bake temperatures of the resist and topcoat must be aligned to achieve the best performance.

Full Text
Paper version not known

Talk to us

Join us for a 30 min session where you can share your feedback and ask us any queries you have

Schedule a call

Disclaimer: All third-party content on this website/platform is and will remain the property of their respective owners and is provided on "as is" basis without any warranties, express or implied. Use of third-party content does not indicate any affiliation, sponsorship with or endorsement by them. Any references to third-party content is to identify the corresponding services and shall be considered fair use under The CopyrightLaw.