Abstract

Laser produced plasma (LPP) EUV systems have been considered as a viable and scalable approach for the EUV scanners at sub-32nm and beyond nodes on the ITRS roadmap. High EUV power and reduction of chamber contaminations inside EUV vacuum chambers or to EUV scanner optics are two main concerns for the LPP EUV source system development. In this paper, a review of Cymer LPP EUV development progress will be provided along with productization status for LPP EUV sources with performance goals targeted to meet specific requirements from leading scanner manufacturers. The latest results on high EUV power generation, debris mitigation and clean transmission of EUV light through the intermediate focus will be described. Finally, a development roadmap to achieve high LPP EUV source power will be illustrated.

Full Text
Paper version not known

Talk to us

Join us for a 30 min session where you can share your feedback and ask us any queries you have

Schedule a call

Disclaimer: All third-party content on this website/platform is and will remain the property of their respective owners and is provided on "as is" basis without any warranties, express or implied. Use of third-party content does not indicate any affiliation, sponsorship with or endorsement by them. Any references to third-party content is to identify the corresponding services and shall be considered fair use under The CopyrightLaw.