Abstract

In this study, we report a new method to fabricate a wire grid polarizer (WGP) that greatly relaxes the requirement on patterning and etching, and can be easily applied to produce flexible WGPs. The technique is to pattern a high aspect ratio and narrow linewidth grating by nanoimprint lithography followed by two angled aluminum depositions in opposite directions to produce the narrow spacing between the aluminum lines required for a visible band WGP. Anisotropic reactive ion etching is used to remove the aluminum deposited at the top of the grating but leave the aluminum layer on the grating sidewalls, thereby forming a metal wire grid with much smaller spacings than a lithographically defined grating. As a result, the fabricated WGP showed good performance in a wide range of visible wavelength.

Full Text
Paper version not known

Talk to us

Join us for a 30 min session where you can share your feedback and ask us any queries you have

Schedule a call

Disclaimer: All third-party content on this website/platform is and will remain the property of their respective owners and is provided on "as is" basis without any warranties, express or implied. Use of third-party content does not indicate any affiliation, sponsorship with or endorsement by them. Any references to third-party content is to identify the corresponding services and shall be considered fair use under The CopyrightLaw.