Abstract

Strong nonlinear, electro-optical, and thermo-optical properties of lithium niobate (LN) have gained much attention. However, the implementation of LiNbO3 in real devices is not a trivial task due to difficulties in manufacturing and handling thin-film LN. In this study, we investigate an optical device where the Bloch surface wave (BSW) propagates on the thin-film LN to unlock its properties. First, access to the LN film from air (or open space) is important to exploit its properties. Second, for sustaining the BSW, one-dimensional photonic crystal (1DPhC) is necessary to be fabricated under the thin-film LN. We consider two material platforms to realize such a device: bulk LN and commercial thin-film LN. Clear reflectance dips observed in far-field measurements demonstrate the propagation of BSWs on top of the LN surface of the designed 1DPhCs.

Full Text
Paper version not known

Talk to us

Join us for a 30 min session where you can share your feedback and ask us any queries you have

Schedule a call

Disclaimer: All third-party content on this website/platform is and will remain the property of their respective owners and is provided on "as is" basis without any warranties, express or implied. Use of third-party content does not indicate any affiliation, sponsorship with or endorsement by them. Any references to third-party content is to identify the corresponding services and shall be considered fair use under The CopyrightLaw.