Abstract

BackgroundA plausible approach for mitigating the mask 3-D (M3D) effects observed in extreme ultraviolet (EUV) lithography is to replace the existing mask absorber with alternative materials. Absorbers with a high EUV extinction coefficient k allow for lower best focus variation (BFV) through pitch and reduced telecentricity errors (TCEs).AimWe aim to evaluate Ta-Co alloys as potential high-k mask absorbers from material suitability and imaging standpoints.ApproachWe study the film morphology, surface composition, and stability of Ta-Co alloys in mask cleaning solutions and a hydrogen environment as present in the EUV scanner to assess the material suitability from an experimental aspect. Optical constants for three selected compositions, viz., TaCo, Ta2Co, and TaCo3, were determined from EUV angle-dependent reflectivity measurements. Next, utilizing rigorous simulation software, the imaging performance of Ta-Co alloys is evaluated and compared with the reference absorber. The recommended absorber thickness for Ta-Co alloy absorbers is based upon normalized image log slope (NILS) enhancement, threshold to size, and balancing of diffraction order amplitudes. A 10 nm line and space pattern with a pitch of 20 nm and 14 nm square contact holes with a pitch of 28 nm are used for the simulation study using high numerical aperture 0.55 EUV lithography process settings. The primary imaging metrics for through pitch evaluation include NILS, TCE, and BFV.ResultsThe Ta-Co alloys exhibit a higher EUV extinction coefficient k compared with the currently used Ta-based absorber. TaCo and Ta2Co demonstrate smooth surfaces and are stable in a hydrogen environment and in mask-cleaning solutions.ConclusionTa-Co alloys allow for a reduction in M3D effects at a lower absorber thickness compared with a 60 nm Ta-based reference absorber.

Full Text
Paper version not known

Talk to us

Join us for a 30 min session where you can share your feedback and ask us any queries you have

Schedule a call