Abstract

One of the critical challenges for delivering next nodes or high-NA extreme ultraviolet (EUV) lithography to high volume manufacturing (HVM) in the semiconductor industry is to have a high-performance EUV resist process. The high-performance resist process needs to simultaneously meet multiple requirements, such as high resolution, high sensitivity, low roughness, a low defect level, and good global CD uniformity (CDU). In this paper, we will introduce a new wet development (DEV) method to improve the performance of metal oxide resists (MOR), which is named ESPERTTM** (Enhanced Sensitivity develoPER Technology). This newly invented method can meet multiple requirements together where it is not possible with the conventional development method. With 36 nm pitch pillar patterns, we have confirmed with after etch inspection (AEI) data that the new method produced 22% improvement in EUV dose and 7% improvement in LCDU, simultaneously. No pattern collapse was observed at least up to 15.4 nm pillar size. In the case of 30 nm pitch line/space (L/S) pattern, the improvements were 26% in EUV sensitivity and 12.3% in LWR also with AEI results. Furthermore, the new method could also shift the bridging cliff 0.5 nm to the larger line CD while its global CDU was improved 2.6 times. The new data achieved by the new wet development method make us believe that MOR are mostly ready for HVM and this new method is also aiming to be used in high NA EUV lithography in the near future.**) ESPERTTM is trademarks of Tokyo Electron Limited.

Full Text
Published version (Free)

Talk to us

Join us for a 30 min session where you can share your feedback and ask us any queries you have

Schedule a call