Abstract

The dependences of plasmas (CF 4 /D 2 and CF 4 /H 2 ) on etch rates of the PECVD SiN films at different substrate temperatures were investigated. The CF 4 /D 2 plasma exhibited higher etch rates than that for the CF 4 /D 2 plasma at room temperature and higher. The optical emission spectra showed that the CF polymerization, F and Balmer emissions were stronger in the CF 4 /D 2 plasma, by comparing with the CF 4 /H 2 plasma. A thinner fluorocarbon thickness with a lower F/C ratio was found in the sample proceeded by the CF 4 /H 2 plasma. The fluorocarbon thickness and gas phase concentration were not responsible for the increase of etch rate in the CF 4 /D 2 plasma. The abstraction of H inside the SiN films by deuterium and hydrogen dissociation were considered to be important for the etching of the Si- H bond rich SiN films.

Full Text
Paper version not known

Talk to us

Join us for a 30 min session where you can share your feedback and ask us any queries you have

Schedule a call

Disclaimer: All third-party content on this website/platform is and will remain the property of their respective owners and is provided on "as is" basis without any warranties, express or implied. Use of third-party content does not indicate any affiliation, sponsorship with or endorsement by them. Any references to third-party content is to identify the corresponding services and shall be considered fair use under The CopyrightLaw.