Abstract

To reduce interconnect resistance and capacitance delay, the dielectric material with increased porosity is used in recent interconnection for lower dielectric constant. However, it is difficult to use highly porous low-k materials at the narrowest pitch because it is easily damaged during plasma etching processes. During plasma etching processes, plasma ions, reactive radicals, and VUV photon from the plasma tend to break Si-CH3 bonds in the low-k materials and change the material hydrophilic. This makes the damaged dielectric material to be bonded with moisture and makes dielectric constant to be increased and, eventually, it degrades RC time delay. In this study, as one of the plasma induced damage reduction methods in the etching of porous low-k dielectric, RF pulsed plasma methods have been investigated by using a dual frequency CCP system. RF pulsed plasmas generated lower energy ions compared to continuous wave plasmas and showed reduced damaged layer compared to the conventional continuous wave plasma etching. Porous SiCOH dielectric with a patterned TiN hard mask etched using RF pulsed plasmas and showed more anisotropic etching profile with less sidewall damage, which was estimated by the thickness loss of sidewall low-k material after dipping into a diluted HF solution. The results demonstrated that the RF pulsed plasma etching process of ultra low-k dielectric can improve RC delay related with plasma damage for next interconnect technology.

Full Text
Published version (Free)

Talk to us

Join us for a 30 min session where you can share your feedback and ask us any queries you have

Schedule a call