Abstract

Achieving high quality radial junctions in nanowire arrays with controlled doping profiles is critical for their potential photovoltaic applications. We present a low temperature epitaxial growth process for silicon radial p-n and p-i-n junction arrays on top-down fabricated nanowires using silane-based chemical vapor deposition. Epitaxial growth on [111] oriented nanowires of ∼300 nm diameter and up to 10 μm in length exhibits well-defined, single crystalline {110} faceted surfaces at temperatures as low as 710 °C. The growth rate G at 810 °C for intrinsic Si is greater than that for heavily B- and P-doped Si (Gi > Gp > Gn). Faceted growth morphology at the tip of the nanowires results in well-defined “match-head” structures for undoped and B-doped growth. Preliminary photovoltaic device arrays of ∼4 × 104 nanowires based on our radial epitaxial p-i-n junction growth approach achieve solar energy conversion efficiencies of 10% under AM 1.5 G illumination.

Full Text
Paper version not known

Talk to us

Join us for a 30 min session where you can share your feedback and ask us any queries you have

Schedule a call