Abstract

We have coupled the SAFIER™ (shrink assist film for enhanced resolution) process with electron-beam lithography for the fabrication of the write top pole structures for thin-film heads. The SAFIER™ process is designed to physically shrink trench patterns and contact holes with very little deterioration of the resist profile. In this article, we will present the experimental results of the SAFIER™ process for the fabrication of the write top pole. We investigate the SAFIER™ process concerning several key processing issues, including shrink resolution capability, repetition of the SAFIER™ process, shrink-sensitive baking conditions, resist sidewall profile, and line edge roughness (LER) after shrinking of the trench. The experimental results show that this process not only shrinks the size of resist trenches and contact holes, but also improves LER and critical dimension variation. We demonstrate the capability of printing top pole structures with pole widths of sub-20nm in a 0.30-μm-thick resist (aspect ratio>15:1), and electroplated top pole structures of 50nm in a 0.50-μm-thick resist (aspect ratio=10:1).

Full Text
Paper version not known

Talk to us

Join us for a 30 min session where you can share your feedback and ask us any queries you have

Schedule a call

Disclaimer: All third-party content on this website/platform is and will remain the property of their respective owners and is provided on "as is" basis without any warranties, express or implied. Use of third-party content does not indicate any affiliation, sponsorship with or endorsement by them. Any references to third-party content is to identify the corresponding services and shall be considered fair use under The CopyrightLaw.