Abstract

Electrochemical Atomic Layer Deposition (e-ALD) is attractive for materials fabrication in a variety of applications including nano-electronics, catalysis and energy conversion. This technique enables deposition of ultrathin metal and metal alloy films, while providing unprecedented atomic-level control over surface topography through layer-by-layer assembly of metal monolayers [1, 2]. Conventional e-ALD of copper (Cu) consists of two key steps repeated sequentially [3]: (i) Underpotential deposition (UPD) of a sacrificial metal monolayer such as lead (Pb) followed by (ii) Surface-Limited Redox Replacement (SLRR) of the sacrificial Pb-UPD layer by nobler Cu. In this study, we present a novel lead-free process for e-ALD of Cu mediated by zinc (Zn) UPD. This process eliminates lead-containing chemistries and thus it is advantageous in terms of its practical applicability. In this process, a sacrificial monolayer of Zn is underpotentially deposited onto the substrate (e.g., ruthenium Ru). Under open-circuit conditions, the sacrificial Zn monolayer undergoes redox replacement by nobler Cu. This provides a monolayer of Cu on the substrate surface. UPD-SLRR cycles are repeated to build multi-layers of Cu with controlled thickness while minimizing surface roughness evolution. These are characteristics of true e-ALD. Cyclic voltammetry (CV) was performed to confirm the Zn-UPD behavior on a PVD Cu substrate from an alkaline electrolyte containing complexed Zn2+. Quartz crystal microgravimetry (QCM) was employed to further study the Zn-UPD process on a Cu coated quartz crystal. The frequency of the quartz crystal decreased (indicative of mass addition) and reached a time-invariant value of -7 Hz after complete formation of a Zn-UPD monolayer. Based on results of CV and microgravimetry, the following Cu e-ALD protocol was established: Underpotential deposition of Zn onto the Cu substrate at -1.1 V vs. Ag/AgCl for 20 sec followed by SLRR of Zn-UPD monolayer by Cu under open-circuit conditions. In the present talk, we will demonstrate the basic feasibility of the proposed novel Cu e-ALD process. Fig. 1 shows the potential and QCM frequency response during the Cu e-ALD process. The important features of the process are as follows: (i) The steady-state QCM frequency shift of ~7 Hz during the Zn-UPD step, indicative of a mass gain of about 124 ng/cm2 (i.e., ~1 monolayer of Zn). (ii) During the SLRR period, the potential drifts anodic indicating replacement of the Zn monolayer by nobler Cu. (iii) The frequency shift is constant during the SLRR period. (iv) After each SLRR cycle, consistent frequency decrease of ~ 6–7 Hz is observed during the Zn-UPD step, indicating the layer-by-layer growth of smooth Cu layers. In this talk, we will discuss the Cu e-ALD deposit characteristics such as the thickness, roughness and composition. Possible extensions of the proposed e-ALD approach to fabrication of other metallic nano-films will be discussed.

Full Text
Published version (Free)

Talk to us

Join us for a 30 min session where you can share your feedback and ask us any queries you have

Schedule a call