Abstract

The silicon etching process is a core component of production in the semiconductor industry. Undercut is a nonideal effect in silicon dry etching. A reduced undercut is desired when preparing structures that demand a good sidewall morphology, while an enlarged undercut is conducive to the fabrication of microstructure tips. Undercut is related to not only the production parameters but also the mask materials. In this study, five mask materials-Cr, Al, ITO, SiNx, and SiO2-are chosen to compare the undercut effect caused by the isotropic etching process and the Bosch process. In the Bosch process, the SiNx mask causes the largest undercut, and the SiO2 mask causes the smallest undercut. In the isotropic process, the results are reversed. The effect of charges in the mask layer is found to produce this result, and the effect of electrons accumulating during the process is found to be negligible. The undercut effect can be enhanced or suppressed by selecting appropriate mask materials, which is helpful in the MEMS process. Finally, using an Al mask, a tapered silicon tip with a top diameter of 119.3 nm is fabricated using the isotropic etching process.

Full Text
Published version (Free)

Talk to us

Join us for a 30 min session where you can share your feedback and ask us any queries you have

Schedule a call