Abstract

EUV lithography has been investigated as one of the next generation lithography technologies for sub-20 nm patterning because of its high resolution capability. However, outgassing from EUV resists should be improved in order to prevent optic contamination and to implement EUV lithography for high-volume manufacturing. Recently, in e-beam lithography for fabrication of photomask, the resist related outgassing has been also considered as one of the critical issues like that of EUV resists. E-beam exposure dose has been increased gradually in order to make fine patterns with better resolution and line edge roughness. As a result, the total resist outgassing in the application of lower sensitive resists could be increased due to longer exposure time in high vacuum and higher amount of organic compounds such as a photoacid generator and a quencher during e-beam irradiation. Therefore, the study of e-beam resist outgassing needs to understand correlations between outgassed chemical components from resists and e-beam optic contamination. The outgassing evaluations of current three kinds of positive e-beam resists were performed by using a EUV outgassing machine. The commercial e-beam resists show less contamination results compared to that of general EUV resists, relatively. However, the outgassing of e-beam resists was increased with decreasing resist sensitivity. In this view point, the outgassing should be considered as one of the important properties of the newly developed chemically amplified e-beam resists. Therefore, these e-beam resist outgassing results could be used as important data for development of next generation e-beam resists with lower sensitivity, to prevent the e-beam exposure equipment contamination.

Full Text
Published version (Free)

Talk to us

Join us for a 30 min session where you can share your feedback and ask us any queries you have

Schedule a call