Abstract

Double patterning technology (DPT) is one of the main options for printing critical layers at 32nm half-pitch and beyond. To enable DPT, a layout decomposition tool is first used to split the original design into two separate decomposed-design layouts. Each decomposed-design layout may then receive optical proximity correction (OPC) and RETs to produce a mask layout. The requirements for OPC to enable individual layer DPT patterning are generally the same as current single exposure OPC requirements, meaning that the success criteria will be similar to previous node specifications. However, there are several new challenges for OPC with DPT. These include large litho-etch biases, two sets of process variables associated with each patterning layer and the relative pattern placement between them. The order of patterning may be important as there may be process interactions between the two patterns especially at overlap regions. Corners which were rounded in single patterning layers may now become sharp, potentially increasing reliability concerns due to electromigration. In this study, we address many of these issues by proposing several new techniques that can be used in OPC with DPT. They are specifically designed for the Litho-Etch-Litho-Etch process, but some of the ideas may be extended to develop OPC methods for other DPT processes. We applied the new OPC method to several circuit and test patterns and demonstrated how OPC results were improved compared to regular OPC methods.

Full Text
Published version (Free)

Talk to us

Join us for a 30 min session where you can share your feedback and ask us any queries you have

Schedule a call