Abstract

The complexity of ion implant applications in IC fabrication has grown significantly since becoming the preferred process for doping semiconductors. Aggressive device scaling over the last decade raised unique challenges. This resulted in the invention of novel implant applications to address device scaling driven issues and the development of new generations of ion implanters. These newly developed tools are capable of delivering a wide variety of ion beams of traditional doping and non-doping species, with manufacturing worthy beam currents over an energy range extending from 200 eV to several MeV. They are capable of controlling implanted wafer temperature down to cryogenic conditions to take full advantage of new defect engineering approaches. All these innovations resulted in significant growth of ion implantation steps in advanced IC manufacturing for both doping and Precision Materials Modification (PMM). In this paper we present an overview of recent advances in ion implantation technologies and applications addressing sub-20nm device and process integration challenges. We illustrate how these innovations enable improvement of device performance and expansion of process margins through novel capabilities of ion implantation tools coupled with innovative materials engineering approaches for junction formation and for process modules beyond of traditional doping applications.

Full Text
Paper version not known

Talk to us

Join us for a 30 min session where you can share your feedback and ask us any queries you have

Schedule a call

Disclaimer: All third-party content on this website/platform is and will remain the property of their respective owners and is provided on "as is" basis without any warranties, express or implied. Use of third-party content does not indicate any affiliation, sponsorship with or endorsement by them. Any references to third-party content is to identify the corresponding services and shall be considered fair use under The CopyrightLaw.