Abstract

In the semiconductor etch process, as the critical dimension (CD) decreases and the difficulty of the process control increases, in-situ and real-time etch profile monitoring becomes important. It leads to the development of virtual metrology (VM) technology, one of the measurement and inspection (MI) technology that predicts the etch profile during the process. Recently, VM to predict the etch depth using plasma information (PI) variables and the etch process data based on the statistical regression method had been developed and demonstrated high performance. In this study, VM using PI variables, named PI-VM, was extended to monitor the etch profile and investigated the role of PI variables and features of PI-VM. PI variables are obtained through analysis on optical emission spectrum data. The features in PI-VM are investigated in terms of plasma physics and etch kinetics. The PI-VM is developed to monitor the etch depth, bowing CD, etch depth times bowing CD (rectangular model), and etch area model (non-rectangular model). PI-VM for etch depth and bowing CD showed high prediction accuracy of R-square value (R2) 0.8 or higher. The rectangular and non-rectangular etch area model PI-VM showed prediction accuracy R2 of 0.78 and 0.49, respectively. The first trial of virtual metrology to monitor the etch profile will contribute to the development of the etch profile control technology.

Highlights

  • The increase in difficulty of the etch profile control is due to the decrease of critical dimension (CD) and increase of aspect ratio [1]

  • A total of five plasma information (PI)-virtual metrology (VM) models based on statistics of multi-linear regression-stepwise variable selection (MLR-SVS) were developed using five input data sets by including the PI variables

  • It was demonstrated that the prediction accuracy of PI-VM improved by including PI-optical emission spectroscopy (OES) and PIDensity as input data

Read more

Summary

Introduction

The measurement and inspection (MI) process to manage the etch profile is essential, but it takes a long time and reduces the manufacturing throughput. It has limitations in a real-time monitoring during the process [2]. It is an algorithm that predicts the process results by statistically processing data of EES, sensor, and preceded process MI [3]. It is known that the process results such as etch rate, deposition rate, and fault occurrence can be predicted using the data gathered during the process [4,5,6,7,8]. Many studies are being conducted to improve the accuracy, and most of them are being developed through choosing more sophistical statistical methods and utilizing more sensor data

Methods
Results
Conclusion
Full Text
Published version (Free)

Talk to us

Join us for a 30 min session where you can share your feedback and ask us any queries you have

Schedule a call