Abstract

This paper presents the experimental results and analysis of the elastic modulus and hardness for several thicknesses of dielectric films, namely low- k films and their barrier layer, using the nano-indentation technique. The elastic modulus and hardness of the films were determined by “normal” nano-indentation analysis. The data were then analyzed by re-arranging the load–displacement data ( P– h) obtained by nano-indentation in such a way that the applied load divided by the corresponding displacement ( P/ h) versus the displacement ( h) was obtained, which effectively differentiates the film-only mechanical properties from those of the substrate. The low- k film, carbon-doped silicon oxide (SiOC), was prepared using a parallel plate PECVD system. The dielectric constant of the low- k film was measured to be 3.09, which is much lower than that of commonly used dielectric materials such as SiO 2 ( k∼3.9). The hardness and elastic modulus of the film were measured to be approximately 2.23 and 13.98 GPa, respectively. To enhance the mechanical properties of the low- k thin film, a low- k barrier layer (SiCN:H) with better mechanical properties was deposited between the low- k film and the substrate or on top of the low- k film. It was found that the barrier layer improved the mechanical properties of the low- k film and the barrier layer stacked in certain circumstances. The effects of the arrangement of the barrier layer and the low- k film on the mechanical behavior of the bi-layer system were studied in detail.

Full Text
Published version (Free)

Talk to us

Join us for a 30 min session where you can share your feedback and ask us any queries you have

Schedule a call