Abstract

Energy becomes a dominating factor for a wide spectrum of computations: from intensive data processing in “big data” companies resulting in large electricity bills, to infrastructure monitoring with wireless sensors relying on energy harvesting. In this context it is essential for a computation system to be adaptable to the power supply and the service demand, which often vary dramatically during runtime. In this paper we present an approach to building processors with reconfigurable microarchitecture capable of changing the way they fetch and execute instructions depending on energy availability and application requirements. We show how to use Conditional Partial Order Graphs to formally specify the microarchitecture of such a processor, explore the design possibilities for its instruction set, and synthesise the instruction decoder using correct-by-construction techniques. The paper is focused on the design methodology, which is evaluated by implementing a power-proportional version of Intel 8051 microprocessor.

Highlights

  • Since 2007, our society has used more energy for browsing the Internet than for air travel [1]

  • We hypothesise that modern systems exhibit poor power proportionality because they are designed to operate in a narrow scope of conditions, typically optimised for either high performance or low power consumption

  • As soon as all the intended manipulations with the instruction set are performed, we can proceed to the stage of mapping the resultant Conditional Partial Order Graph (CPOG) into Boolean equations to produce a physical implementation of the specified microcontroller

Read more

Summary

Introduction

Since 2007, our society has used more energy for browsing the Internet than for air travel [1]. We hypothesise that modern systems exhibit poor power proportionality because they are designed to operate in a narrow scope of conditions, typically optimised for either high performance or low power consumption. This approach is inherently flawed because all the design effort is focused on one particular operation mode disregarding others. Another issue that makes it difficult to handle unpredictable environmental conditions is the absence of a cross-layer feedback-control loop between energy supply components (in hardware) and an operating system or an active application (in software), see Figure 1: software is unable to steer the computation with the aim of maximising.

Reconfigurability and Power Proportionality
Conditional Partial Order Graphs
Building Instruction Sets
Mode-Specific Components
Concurrency Management
Synthesis of Microcontroller
Reconfigurable 8051 Microcontroller
Design Flow
Adaptability Features
Experiments
Findings
Conclusions
Full Text
Published version (Free)

Talk to us

Join us for a 30 min session where you can share your feedback and ask us any queries you have

Schedule a call