Abstract

Context. The relevance of the work is to provide minimal additional hardware costs during design automation of easy-tested digitaldevices, which are represented by models of control finite state machines on hardware description languages.Objective. To develop procedures of models’ constructing of easy-tested control finite state machines on hardware descriptionlanguages and estimate hardware costs for different methods of hardware redundancy introduction to HDL-models of finite state machines.Method. The introduction to HDL-models of control finite state machines, which are presented in the form of the FSM template,hardware redundancy (additional fragments of the HDL-code), providing the forcing setting of finite state machine into an arbitrary statewithout the use of synchronizing sequences. For implementation of this approach, the method of FSM’s state table extending is applied,which ensures the mode of bypassing of all nodes of FSM’ state diagram in the diagnostic mode.Results. Simulation of extended VHDL-models of the control FSM using Active-HDL confirmed the operability of this approach.Synthesis of these models using CAD XILINX ISE confirmed the receipt of testable structures and showed the minimum hardware costs forthe method associated with the extension of the state table, in comparison with the organization of the shift register in the Scan Path mode.Conclusions. The task of computer-aided design of testable control finite state machine on the basis of application of FSM’ settingmethods into given state is solved in the work. The optimal way of the setting organization into an arbitrary state of the control FSM is toexpand the state table, which improves the controllability of FSM’ states and leads to the structure’ transformation of their HDL-modelsinto easy-tested ones.The scientific novelty of the work is the transformation of control FSM’ models on hardware description languages, which is realizedby introduction of the additional symbol to the state table, providing the settings of the FSM into an arbitrary state without the use ofsynchronizing sequences.The practical significance of obtained results is to confirm the optimality, in terms of additional hardware costs, of the setting methodof the control FSM into an arbitrary state by introducing the additional symbol into the state table.

Highlights

  • Computer-aided design of digital devices (DD) based on specification which is represented in the form of hardware description languages (HDL)

  • In [14] authors of this paper proposed and theoretically justified the introduction of the additional column in the state table of finite state machine (FSM) by providing for this symbol the transition function of the state diagram of the shift register, which allows you to set the FSM to any given state

  • In existing CAD systems based on hardware description languages, such as Active-HDL or Riviera from Aldec Inc., there are software modules with a visual interface for automated generation of HDL models of finite state machines based on the state diagram (SD) (State Diagram Editor) and the conduction of diagnostic experiment on bypassing of nodes and arcs of the SD (ASFTEST) [12]

Read more

Summary

Introduction

Computer-aided design of digital devices (DD) based on specification which is represented in the form of hardware description languages (HDL). Structural methods of diagnosis, which are oriented to the detection of constant faults, are not effective. Specialized data processing and control DD as a rule described by finite state machine (FSM). The forms of FSM representation are state table (ST) and state diagram (SD). Due to the complexity of the diagnostic experiments (DE) under FSM, various methods of testability assurance were proposed. Modification of FSM models providing for the introduction of hardware redundancy, both at structural level (additional inputs and outputs to ensure the simplicity of DE) and at functional level (additions and changes in the functional description of the FSM, namely state diagram)

Methods
Results
Discussion
Conclusion
Full Text
Paper version not known

Talk to us

Join us for a 30 min session where you can share your feedback and ask us any queries you have

Schedule a call

Disclaimer: All third-party content on this website/platform is and will remain the property of their respective owners and is provided on "as is" basis without any warranties, express or implied. Use of third-party content does not indicate any affiliation, sponsorship with or endorsement by them. Any references to third-party content is to identify the corresponding services and shall be considered fair use under The CopyrightLaw.