Abstract

One of the major design bottlenecks in today’s high-performance VLSI systems is the distribution of a single global clock across a chip due to process variability, power dissipation, and multi-cycle cross-chip signaling. A Network-on-Chip architecture partitioned into several Voltage/Frequency Islands (VFIs) is considered as a promising approach for achieving fine-grain system-level power management. In a VFI-based architecture, a clock is utilized for local data synchronization, while inter-island communication is handled asynchronously. To interface the islands on a chip, operating at different frequencies, a complex bi-synchronous FIFO design is inevitable. However, these FIFOs are not needed if adjacent switches belong to the same clock domain. In this paper, a Reconfigurable Synchronous/Bi-Synchronous (RSBS) FIFO is proposed which can adapt its operation to either synchronous or bi-synchronous mode. Four different scalable and synthesizable designs are presented. In addition, a technique is suggested to show how the FIFO could be utilized in a VFI-based NoC. Moreover, we present a mesochronous adaptation method and propose Reconfigurable Mesochronous/Bi-Synchronous (RMBS) FIFOs. Our extensive experiments reveal that compared to a non-reconfigurable system architecture, the proposed reconfigurable FIFOs can help to achieve up to 17% savings in the average power consumption of NoC switches and 29% improvement in the total average packet latency in the case of an MPEG-4 encoder application.

Full Text
Published version (Free)

Talk to us

Join us for a 30 min session where you can share your feedback and ask us any queries you have

Schedule a call