Abstract

The semiconductor industry is on the threshold of using extreme ultraviolet (EUV) lithography in high volume manufacturing (HVM). Nevertheless, there are several areas where improvement in this lithographic technology would be very beneficial, most notably exposure tool reliability (particularly the light source) and mask contamination. These areas have important consequences for productivity. Future generations of EUV lithography are expected, but there are several challenges to be overcome, particularly in the areas of resists and computational lithography. A replacement for chemically amplified resists may be required. Regardless of resist type, exposure doses must be sufficiently high to prevent photon shot noise from causing high levels of yield loss. Computational lithography for next generation EUV lithography will be very complex.

Full Text
Paper version not known

Talk to us

Join us for a 30 min session where you can share your feedback and ask us any queries you have

Schedule a call

Disclaimer: All third-party content on this website/platform is and will remain the property of their respective owners and is provided on "as is" basis without any warranties, express or implied. Use of third-party content does not indicate any affiliation, sponsorship with or endorsement by them. Any references to third-party content is to identify the corresponding services and shall be considered fair use under The CopyrightLaw.