Abstract

Extreme ultraviolet (EUV) pellicles help in the protection of EUV masks from defects, contaminants, and particles during the exposure process. However, a single-stack EUV pellicle can be easily deformed during the exposure process; therefore, multi-stack pellicles have been proposed to minimize the deformation of an EUV pellicle. However, wrinkles can be formed in an EUV pellicle due to extremely thin thickness. In this study, we investigated the impact of these wrinkles on the transmission and critical dimension (CD) variation for the 5- and 3-nm nodes. The 5- and 3-nm nodes can be used by conventional and high numerical aperture (NA) systems, respectively. The variation in the transmission and the allowable local tilt angle of the wrinkle as a function of the wrinkle height and periodicity were calculated. A change in transmission of 2.2% resulted in a 0.2 nm variation in the CD for the anamorphic NA system (3-nm node), whereas a transmission variation of 1.6% caused a 0.2 nm CD variation in the isomorphic NA system (5-nm node).

Full Text
Published version (Free)

Talk to us

Join us for a 30 min session where you can share your feedback and ask us any queries you have

Schedule a call