Abstract

Integrated microchannel cooling is a very promising concept for thermal management of 3D ICs, because it offers much higher cooling performance than conventional forced-air convection. The thermo-fluidic simulations of such chips are usually performed using a computational fluid dynamics (CFD) approach. However, due to the complexity of the fluid flow modelling, such simulations are typically very long and faster models are therefore considered. This paper demonstrates the advantages of TIMiTIC—a compact thermal simulator for chips with liquid cooling—and shows its practical usefulness in design space exploration of 3D ICs with integrated microchannels. Moreover, thermal simulations of a 3D processor model using the proposed tool are used to estimate the optimal power dissipation profile in the chip and to prove that such an optimal profile allows for a very significant (more than 10 °C) peak temperature reduction. Finally, a custom correlation metric is introduced which allows the comparison of the power distribution profiles in terms of the peak chip temperature that they produce. Statistical analysis of the simulation results demonstrates that this metric is very accurate and can be used for example in thermal-aware task scheduling or dynamic voltage and frequency scaling (DVFS) algorithms.

Highlights

  • Stacked 3D integrated circuits [1,2,3] have many important advantages, like smaller footprint, lower delay, higher operating speed, potentially higher yield, combining different technologies on a single die, etc

  • This paper demonstrates the advantages of TIMiTIC—a compact thermal simulator for chips with liquid cooling—and shows its practical usefulness in design space exploration of 3D ICs with integrated microchannels

  • The original design consists of eight cores, each surrounded by two banks of L3 cache, four ring interconnection agents (RIA), the system agent unit (SA) and the GPU

Read more

Summary

Introduction

Stacked 3D integrated circuits [1,2,3] have many important advantages, like smaller footprint, lower delay, higher operating speed, potentially higher yield, combining different technologies on a single die, etc. This results in a very high thermal resistance between the bottom layer and the heat sink and, higher peak temperatures are produced. To tackle these two problems, integrated microchannel cooling [10,11] has been suggested. Numerical pre-simulation was used to extract the function and build a thermal wake aware resistance network model The authors compared their model with a commercial CFD tool and reported an error of less than 2.0% and a 400× speedup. This paper demonstrates the advantages of TIMiTIC—a compact thermal simulator for chips with liquid cooling—and shows its practical usefulness in design space exploration of 3D ICs with integrated microchannels.

Characteristics
TIMiTIC Simulator
Simulation Model
Except
The model of the based on the of Intel
Smulation Parameters
Power Data
Peak Temperature Variability Simulations
Finding the Optimal Power Dissipation Profile
Power Distribution Correlation Metric
Conclusions and Future Work
Full Text
Published version (Free)

Talk to us

Join us for a 30 min session where you can share your feedback and ask us any queries you have

Schedule a call