Abstract

New multipass optical lithography patterning methods needed to print features for future <10 nm technologies will demand an increasingly complex combination of hardmasks, antireflection coatings, spacers, and etch stopping materials with distinct yet complementary properties. Finding the right mix, however, has proven particularly challenging given that the materials most commonly used are drawn from a limited set of Si- and C-based solids comprising Si, C, O, N, and H. To understand and maximize the limits of this composition space, the authors have performed a combinatorial survey of the dry etch characteristics for the Si-C-O-N-H system with the goal of understanding material composition–etch interactions and identifying material subclasses with distinct etch properties. Over 50 thin films sampling Si-C-O-N-H composition space were surveyed using two fluorinated etches commonly utilized for selective patterning of SiO2 and a-SiN:H dielectrics (CHF3 and CF4/O2, respectively). It was found that the incorporation of oxygen in a-SiN:H allowed for significant tuning of the etch rate of a-SiON:H materials relative to SiO2. Additionally, increasing hydrogen content and nanoporosity significantly increased the etch rate of the various dielectric materials independent of the Si, C, O, and N content. The influence of carbon depended on whether it was incorporated as terminal organic groups, where it resulted in increased etch rates, or directly within the network of the material, where it resulted in decreased etch rates. Silicon- and carbon-rich materials exhibited very low etch rates in both etches and were found to have the most distinct and potentially complementary etch properties relative to SiO2 and a-SiN:H. Accordingly, a-C:H, a-Si:H, and a-SiC:H merit further examination as hardmask or spacer materials in future multipass patterning processes.

Full Text
Published version (Free)

Talk to us

Join us for a 30 min session where you can share your feedback and ask us any queries you have

Schedule a call