Abstract

We propose a CMOS-compatible top-down fabrication technique of highly-ordered and periodic SiO2 nanostructures using a single amorphous silicon (α-Si) mask layer. The α-Si mask pattern is precisely transferred into the underlying SiO2 substrate material with a high fidelity by a novel top-down fabrication. It is the first time for α-Si film used as an etch mask to fabricate SiO2 nanostructures including nanoline, nanotrench, and nanohole arrays. It is observed that the α-Si mask can significantly reduce the pattern edge roughness and achieve highly uniform and smooth sidewalls. This behavior may be attributed to the presence of high concentration of dangling bonds in α-Si mask surface. By controlling the process condition, it is possible to achieve a desired vertical etched profile with a controlled size. Our results demonstrate that SiO2 pattern as small as sub-20 nm may be achievable. The obtained SiO2 pattern can be further used as a nanotemplate to produce periodic or more complex silicon nanostructures. Moreover, this novel top-down approach is a potentially universal method that is fully compatible with the currently existing Si-based CMOS technologies. It offers a greater flexibility for the fabrication of various nanoscale devices in a simple and efficient way.

Highlights

  • In recent years, periodic nanostructures are of great scientific interest and considerable technological importance and have been extensively investigated to meet the stringent requirement for many emerging applications, including biomedical sensors [1,2,3], phonics crystals [4, 5], photovoltaic devices [6, 7], surface plasmon resonance (SPR) sensors or surface-enhanced Raman scattering (SERS) [8,9,10], as well as nanoimprint template [11, 12]

  • A SiO2 layer, which was deposited on bulk silicon substrate using plasma-enhanced chemical vapor deposition (PECVD) followed by a 50-nm-thick α-Si thermally grown by rapid thermal processing (RTP)

  • A novel and simple approach for fabricating periodic SiO2 nanostructures with highly smooth sidewalls has been demonstrated using a single α-Si mask layer. It is a fully CMOS-compatible new strategy to transfer the resist pattern into the underlying mask by top-down approach. These patterns are used as an etch mask to fabricate SiO2 nanostructures including nanoline, nanotrench, and nanohole arrays

Read more

Summary

Introduction

Periodic nanostructures are of great scientific interest and considerable technological importance and have been extensively investigated to meet the stringent requirement for many emerging applications, including biomedical sensors [1,2,3], phonics crystals [4, 5], photovoltaic devices [6, 7], surface plasmon resonance (SPR) sensors or surface-enhanced Raman scattering (SERS) [8,9,10], as well as nanoimprint template [11, 12]. Etch resistance of block copolymer is inherently not strong enough as an etch mask to produce desirable nanostructures during pattern transfer using plasma etch In this case, there have been some incompatible processes with currently mature semiconductor nanofabrication techniques used to fabricate various nanostructures. The metal film such as Cr or Au serving as an etch mask is very necessarily required to reduce the close dependence of dry etch process on the block copolymer, and a lift-off process is generally required to define different patterns dependent on various specific requirements [17,18,19,20] This makes the self-assembly difficult to be applied to currently standard semiconductor equipment, limiting mass-production and readily convenient integration into practical CMOS devices. The technique is not likely to be integrated into traditional semiconductor industry

Methods
Results
Conclusion
Full Text
Published version (Free)

Talk to us

Join us for a 30 min session where you can share your feedback and ask us any queries you have

Schedule a call