Abstract

In a companion article, the etch characteristics of materials within the Si-C-O-N-H system were surveyed using two common fluorinated plasma etches used to etch SiO2 interlayer dielectrics and SiN:H etch stop layers (CHF3 and CF4/O2, respectively) with the goal of identifying new materials or “colors” to assist in the simplification of advanced multipass optical lithography. In this study, the authors investigate additional materials outside the traditional Si-C-O-N-H phase diagram with the hope of identifying potential third or fourth color pattern-assist materials. The specific materials investigated include a series of high-k dielectrics commonly used in the industry (Al2O3, AlN, and HfO2) and boron-based solids (a-B:H, a-BxN:H, a-BxP:H, and a-BxC:H) that have been previously identified as potential hard mask, polish stop, and/or low-k dielectric materials. The high-k dielectrics were all found to exhibit low to unmeasureable etch rates in both fluorinated etches. In contrast, the boron-based solids all exhibited etch rates in the CF4/O2 etch up to an order of magnitude or more higher than those of silicon-based materials while still exhibiting comparable etch rates in the CHF3 interlayer dielectric etch. The high-k dielectrics thus appear to be potential third or fourth color materials, provided etch chemistries capable of selectively etching these relative to other silicon- and carbon-based materials can be identified. The results for the boron-based solids suggest more immediate applications in patterning silicon-based materials. A more detailed examination of the B-C-H system also suggests that significant optimization of the etch selectivity can be achieved via compositional tuning for a-BxC:H and other boron-based dielectric materials.

Full Text
Paper version not known

Talk to us

Join us for a 30 min session where you can share your feedback and ask us any queries you have

Schedule a call