Abstract

We investigate low-temperature (<200 °C) plasma-enhanced chemical vapor deposition (PECVD) for the formation of p–n junctions. Compared to the standard diffusion or implantation processes, silicon growth at low temperature by PECVD ensures a lower thermal budget and a better control of the doping profile. We previously demonstrated the successful growth of boron-doped epitaxial silicon layers (p+ epi-Si) at 180 °C. In this paper, we study the activation of boron during annealing via dark conductivity measurements of p+ epi-Si layers grown on silicon-on-insulator (SOI) substrates. Secondary Ion Mass Spectroscopy (SIMS) profiles of the samples, carried out to analyze the elemental composition of the p+ epi-Si layers, showed a high concentration of impurities. Finally, we have characterized the p+ epi-Si layers by low-temperature photoluminescence (PL). Results revealed the presence of a broad defect band around 0.9 eV. In addition, we observed an evolution of the PL spectrum of the sample annealed at 200 °C, suggesting that additional defects might appear upon annealing.

Highlights

  • Global energy consumption is constantly growing and expected to increase by nearly 50% by2050 [1]

  • We notice a shift towards the lower energies of the annealed samples as compared to that of the as-grown and of the c-Si reference, which is related to a change in the roughness of the layers

  • The interference fringes at low energies reveal the presence of a defective interface and can be used to estimate the thickness of the films (Figure 1c) via modelling and fitting with the Bruggeman effective medium approximation

Read more

Summary

Introduction

Global energy consumption is constantly growing and expected to increase by nearly 50% by2050 [1]. Global energy consumption is constantly growing and expected to increase by nearly 50% by. Photovoltaic (PV) energy has had one of the fastest growth rates in renewables generation and already is the cheapest form of electricity in many countries [1,2]. In 2019, the cumulated installed PV capacity exceeded 500 GW, led by Al BSF and PERC solar modules, which are both based on p-type silicon wafers. In recent years part of the industry has been shifting to n-type wafers and architectures, such as the n-PERT or i-TOPCon cells, with 23.2% and 24.58%. While boron diffusion or implantation are the standard junction formation techniques, they require high processing and/or annealing temperatures, increasing the overall thermal budget of the fabrication and the risk of wafer damage, such as impurities diffusion and thermal donor activation [5]. This paper aims at proposing an alternative method for the formation of Materials 2019, 12, 3795; doi:10.3390/ma12223795 www.mdpi.com/journal/materials

Objectives
Methods
Results
Conclusion
Full Text
Published version (Free)

Talk to us

Join us for a 30 min session where you can share your feedback and ask us any queries you have

Schedule a call