Abstract

Modern systems-on-chip (SoCs) today contain hundreds of cores, and this number is predicted to reach the thousands by the year 2020. As the number of communicating elements increases, there is a need for an efficient, scalable and reliable communication infrastructure. As technology geometries shrink to the deep submicron regime, however, the communication delay and power consumption of global interconnections become the major bottleneck. The network-on-chip (NoC) design paradigm, based on a modular packet-switched mechanism, can address many of the on-chip communication issues, such as the performance limitations of long interconnects and integration of large number of cores on a chip. Recently, new communication technologies based on the NoC concept have emerged with the aim of improving the scalability limitations of conventional NoC-based architectures. Among them, wireless NoCs (WiNoCs) use the radio medium for reducing the performance and energy penalties of long-range and multi-hop communications. As the radio medium can be accessed by a single transmitter at a time, a radio access control mechanism (RACM) is needed. In this paper, we present a novel RACM, which allows one to improve both the performance and energy figures of the WiNoC. Experiments, carried out on both synthetic and real traffic scenarios, have shown the effectiveness of the proposed RACM. On average, a 30% reduction in communication delay and a 25% energy savings have been observed when the proposed RACM is applied to a known WiNoC architecture.

Highlights

  • The evermore increasing number of cores integrated into a multiprocessor system-on-chip (MPSoC) has exacerbated the role played by the on-chip communication system [1]

  • A token circulates through the radio hubs and enables the current radio hub that holds the token to use the radio medium in transmission mode for a certain amount of clock cycles

  • The radio access control mechanism (RACM) typically used in wireless NoCs (WiNoCs) architectures is based on TDMA [6,10,11,12] in which time slots are regulated by means of a token, which circulates among the transmitting stations [13]

Read more

Summary

Introduction

The evermore increasing number of cores integrated into a multiprocessor system-on-chip (MPSoC) has exacerbated the role played by the on-chip communication system [1]. If a node A has to communicate with a node B, which would involve many hops in a traditional NoC, in a WiNoC, such communication can be realized as follows: (1) node A sends the packet to the closest radio hub, RH1, through the electric NoC; (2) RH1 sends the packet to the radio hub, RH2, closest to node B, by means of wireless communication; and (3) RH2 sends the packet to node B through the electric NoC In this way, the number of hops needed for the communication from node A to node B can be reduced with a consequent reduction in terms of communication delay and energy consumption. As compared to the conventional RACM, the use of the proposed RACM allows one to reduce, on average, the communication delay by 30% and to save 25% of the energy

Related Work
Radio Access Control Mechanism
Conventional RACM
Proposed RACM
Synthesis of the RACM Module
Experiments
Findings
Conclusions
Full Text
Published version (Free)

Talk to us

Join us for a 30 min session where you can share your feedback and ask us any queries you have

Schedule a call