Abstract

This paper will review the development of advanced optical lithography starting from the beginning of the wafer stepper era in the early 1980s and projecting into the future. The evolution of optical lithography technology, from the first g-line wafer steppers to the current 248 nm and 193 nm scanners will be highlighted. For illustration of each specific type of optical tool, examples will be taken mainly from the Nikon product line. The demise of optical or photon-imaging lithography has been predicted countless times, starting many device generations ago, as the ratio of printed linewidth over wavelength steadily decreased. But the combination of shrinking photon wavelength, increasing optic numerical aperture and more recently the growing use of resolution enhancement techniques has managed to continuously reinvigorate optical lithography. Today, the future of optical lithography is as bright as ever. An outline of upcoming optical lithography developments at 157 nm and at 13.5 nm (EUV) wavelengths will be presented.

Full Text
Published version (Free)

Talk to us

Join us for a 30 min session where you can share your feedback and ask us any queries you have

Schedule a call