Abstract

Understanding dopant diffusion and activation mechanisms is a key issue for future sub-45 nm CMOS technologies. This understanding requires the availability of accurate chemical and electrically active dopant profiles. In this work we will focus on the accurate characterization of the electrical active portion of ultra-shallow junction (USJ) profiles, including a precise sheet resistance determination. Here, we will discuss respectively, sheet resistance measurements with conventional and low weight four point probe (FPP) systems, electrical depth profiling by the spreading resistance probe (SRP), alternative solutions based on probe-spacing experiments with an SRP-tool, and electrical characterization by non-contact, non-destructive optical tools, such as surface voltage based resistance and leakage (RsL) measurements, carrier illumination (CI) and infra-red spectroscopic ellipsometry (IR-SE). The comparison will mainly be based on state-of-the-art, low temperature, 1–2 nm/decade, sub-50 nm depth, chemical vapor deposition (CVD) layers with different thicknesses and dopant levels. Furthermore the activation of boron in solid phase epitaxially regrown (SPER) source-drain structures will be discussed. It will be illustrated that the combination of electrical characterization tools can supply essential information not otherwise obtainable through other means such as secondary ion mass spectrometry (SIMS) chemical profiling.

Full Text
Paper version not known

Talk to us

Join us for a 30 min session where you can share your feedback and ask us any queries you have

Schedule a call