Abstract

Virtual channel (VC) flow control proves to be an alternative way to promote network performance, but uniform VC allocation in the network may be at the cost of chip area and power consumption. We propose a novel VC number allocation algorithm customizing the VCs in network based on the characteristic of the target application. Given the characteristic of target application and total VC number budget, the block probability for each port of nodes in the network can be obtained with an analytical model. Then VCs are added to the port with the highest block probability one by one. The simulation results indicate that the proposed algorithm reduces buffer consumption by 14.58%–51.04% under diverse traffic patterns and VC depth, while keeping similar network performance.

Highlights

  • SoC designs are confronted with various challenges caused by the increasing complexity of the designs [1]

  • Network-on-chip (NoC) which replaces bus with network to implement the communication among processing elements (PE) has been proposed [3,4], and becomes one of the most promising onchip interconnection architectures [5]

  • NoC design typically aims at certain specific application, the NoC architecture can be customized to specific application to obtain the best design trade-offs[14]

Read more

Summary

Introduction

SoC designs are confronted with various challenges caused by the increasing complexity of the designs [1]. NoC design typically aims at certain specific application, the NoC architecture can be customized to specific application to obtain the best design trade-offs[14] Taking this matter into consideration, Hu et al work out an analytical performance model for NoC, and proposed a buffer capacity allocation algorithm based on the performance model[7]. The buffer with the highest full probability is assigned larger buffer capacity Such an allocation algorithm is limited to router with single buffer channel. When it comes to higher throughput requirements application, router based on VC is necessary and the algorithm will be not available. Taking both arbitration contention and VC feedback probability into account, we add VCs to port with the highest block probability

Buffer Utilization Characteristic for NoC
Basic Idea
Problem Formulation
Block Probability Analysis
VC Number Allocation Algorithm
Simulation and Analysis
Full Text
Paper version not known

Talk to us

Join us for a 30 min session where you can share your feedback and ask us any queries you have

Schedule a call

Disclaimer: All third-party content on this website/platform is and will remain the property of their respective owners and is provided on "as is" basis without any warranties, express or implied. Use of third-party content does not indicate any affiliation, sponsorship with or endorsement by them. Any references to third-party content is to identify the corresponding services and shall be considered fair use under The CopyrightLaw.