Abstract

The lithium-ion batteries of an electric vehicle belong to a high-voltage direct-current system. The high-voltage insulation performance of electric vehicles is very important for their safe operation. To solve the problems of slow response and the poor estimation accuracy of the insulation resistance under complex vehicle working conditions, a real-time insulation resistance detection method based on the variable forgetting factor least squares algorithm is proposed in this paper. Based on the low-frequency signal injection method and considering the influence of the Y capacitor, the corresponding circuit model and the mathematical model of the reflected wave voltage are established, and the mathematical model is linearized by a first-order Taylor expansion. By analyzing the influence of the forgetting factor on model parameter identification and setting appropriate shutdown criteria, the least squares algorithm with a variable forgetting factor is designed to quickly and accurately estimate the insulation resistance and Y capacitance. The experimental test results show that the proposed method can quickly track the changes in the insulation resistance and Y capacitance under the condition of noise interference and that the root mean square error of the estimation resistor is within 0.012.

Highlights

  • As automobile pollutant emissions become increasingly serious [1], electric vehicles are becoming increasingly popular [2]–[6]

  • SIMULATION AND EXPERIMENTAL CONFIGURATION To verify the electric vehicle insulation detection method based on the variable forgetting factor recursive least squares (VFFRLS) algorithm proposed in this paper, simulation experiments and bench experiments were carried out under different insulation resistances and Y capacitances

  • The results show that in the absence of noise, the convergence speed of extended Kalman filter (EKF) and unscented Kalman filter (UKF) is faster than the VFFRLS algorithm, and the measurement accuracy of the VFFRLS is higher than EKF, but slightly lower than UKF

Read more

Summary

INTRODUCTION

As automobile pollutant emissions become increasingly serious [1], electric vehicles are becoming increasingly popular [2]–[6]. B. CONTRIBUTIONS OF THIS STUDY To overcome the problems of poor accuracy, slow response, low robustness and weak anti-interference ability of the above methods, based on the low-voltage low-frequency injection method, this paper analyzes the reflected wave, establishes a new insulation detection circuit model, and proposes the variable forgetting factor recursive least squares (VFFRLS) algorithm to estimate the insulation resistance of an HVDC system. By analyzing the influences of the forgetting factor on model parameter identification and setting appropriate shutdown criteria, the least squares algorithm with a variable forgetting factor is designed to quickly and accurately estimate the insulation resistance and Y capacitance values This method has the advantages of a fast response speed (the average response time is 3 s), high robustness, high estimation accuracy (the root mean square error (RMSE) is less than 0.012) and strong anti-interference ability, which is suitable for promotion in electric vehicles

ORGANIZATION OF THIS PAPER
SIMULATION AND EXPERIMENTAL CONFIGURATION
RESULTS AND DISCUSSION
EXPERIMENT 1
EXPERIMENT 2
EXPERIMENT 3
CONCLUSION
Full Text
Paper version not known

Talk to us

Join us for a 30 min session where you can share your feedback and ask us any queries you have

Schedule a call

Disclaimer: All third-party content on this website/platform is and will remain the property of their respective owners and is provided on "as is" basis without any warranties, express or implied. Use of third-party content does not indicate any affiliation, sponsorship with or endorsement by them. Any references to third-party content is to identify the corresponding services and shall be considered fair use under The CopyrightLaw.