Abstract

We report a process development route toward 300-mm production-worthy non-Bosch through-silicon-via (TSV) etch with critical dimensions between 1-5 ?m and aspect ratios up to 20:1 for 3-D logic applications. The etch development was performed on an experimental alpha-tool: a magnetically enhanced capacitively coupled plasma etcher with a dipole ring magnet that aims to capture the strengths (anisotropicity, profile uniformity) while eliminating the weaknesses (scalloping, undercut, residues) of a nominal Bosch process. Key factors contributing to the control of sidewall taper and roughness, etched TSV volume and depth, mask undercut, local bowing effects, and within wafer (WIW) center-to-edge depth and profile uniformity were evaluated. TSVs with nominal sizes of 5 × 25 ?m, 5 × 40 ?m and 1 × 20 ?m with less than 1% WIW nonuniformity, negligible silicon scalloping/mask undercut, and good profile anisotropicity were developed. Up to 3 × 20 ?m and 5 × 25 ?m void-free Cu-filled TSVs were demonstrated with both vertical TSVs and tapered TSVs.

Full Text
Paper version not known

Talk to us

Join us for a 30 min session where you can share your feedback and ask us any queries you have

Schedule a call

Disclaimer: All third-party content on this website/platform is and will remain the property of their respective owners and is provided on "as is" basis without any warranties, express or implied. Use of third-party content does not indicate any affiliation, sponsorship with or endorsement by them. Any references to third-party content is to identify the corresponding services and shall be considered fair use under The CopyrightLaw.