Abstract

The large area wire grid polarizers (LA-WGPs) with 50 nm half-pitch were fabricated using ArF immersion lithography overcoming the limit of the shot field size. To realize the 50 nm line and space patterns on a 300 mm wafer, a zero-distance stitching process that connects the shot fields is suggested. To compensate for mutual interference between the shot fields which is called the local flare effect (LFE), the shot field arrangement is changed with optical proximity correction (OPC). Using a master wafer produced by the suggested method, 300 mm large-area WGPs were fabricated by the nano-imprint process. The WGPs have more than 80% transmittance in the visible light region, and the possibility of performance improvement can be confirmed depending on the number and method of the etch process.

Highlights

  • 300 mm Large Area Wire GridWire grid polarizers (WGPs) are receiving considerable interest for broad-band applications such as microdisplay-based projection systems because of their compactness, good polarization efficiency, wide field of view, and long-term stability compared to polymer or thin film-based polarizers such as PVA polarizers [1,2]

  • A line critical dimension (CD) of 50 nm is maintained at 100 nm ricate using argon fluoride excimer immersion (ArFi) lithography

  • The interference between shot fields is reduced from three times to two times by shifting the upper and lower rows of the shot field array

Read more

Summary

Introduction

Wire grid polarizers (WGPs) are receiving considerable interest for broad-band applications such as microdisplay-based projection systems because of their compactness, good polarization efficiency, wide field of view, and long-term stability compared to polymer or thin film-based polarizers such as PVA polarizers [1,2]. The argon fluoride excimer immersion (ArFi) scanner to be tested in this paper is being actively used in the semiconductor photolithography process It has a production throughput suitable for semiconductor production and has a resolution of 80 nm pitch in line and space patterns. It has a size limit of WGP production due to the size of the shot field [5]. To fabricate a LA-WGP, a stitching process is required with the shot field interval to zero, and the LFE must be minimized. A zero-distance stitching process between the shot fields is proposed for fabricating LA-WGP with 50 nm half-pitch using ArFi lithography with local flare collection (LFC) based on chip array adjustment and mask’s optical proximity collection (OPC). Fabricating the WGP with ArFi lithography overcomes the throughput limitation of E-beam lithography, and the limitation in shot field size of interferometric exposure

Local Flare Effect
LFC Result
Large-Area WGP
Conclusions
Results and Discussion
Full Text
Published version (Free)

Talk to us

Join us for a 30 min session where you can share your feedback and ask us any queries you have

Schedule a call