Published in last 50 years
Articles published on Remote Plasma
- New
- Research Article
- 10.35848/1882-0786/ae1b62
- Nov 4, 2025
- Applied Physics Express
- Yuto Kawato + 4 more
Abstract In this study, we established a microwave remote plasma source (MW-RPS) to perform plasma-enhanced atomic layer deposition to grow In 2 O 3 channel for FET applications. We compared MW-RPS and a conventional capacitively coupled plasma (CCP) source to investigate the effects of oxidation time in O 2 plasma on the physical and electrical properties of In 2 O 3 . Our results demonstrate that MW-RPS enables both smooth surface morphology and sufficient oxidation even with prolonged plasma exposure. The extracted intrinsic field-effect mobility of the fabricated FETs with MW-RPS-derived In 2 O 3 channels increased significantly with oxidation time compared to that of CCP, reaching a value of 106.2 cm 2 /Vs.
- New
- Research Article
- 10.1002/admi.202500241
- Oct 21, 2025
- Advanced Materials Interfaces
- Jose M Obrero‐Perez + 11 more
Abstract Halide perovskite solar cells (PSCs) offer high efficiency at low production costs, making them a promising solution for future photovoltaic technologies. Optimizing charge transport layers is crucial, with porous TiO 2 widely used as electron transport layers (ETLs) due to their suitable energy band alignment, transparency, and abundance. However, their performance depends strongly on crystallinity, requiring high‐temperature processing (>450 °C), which increases costs and limits their applicability on flexible substrates. Low‐temperature wet‐chemical methods face scalability issues due to material waste and hazardous solvents. Therefore, plasma‐based technologies provide a scalable, eco‐friendly alternative for fabricating oxide‐based ETLs. This study presents a plasma‐based synthesis of TiO 2 layers using remote plasma‐assisted vacuum deposition (RPAVD) and soft plasma etching (SPE) at temperatures below 200 °C, enabling precise control over microstructure and porosity. The resulting nanocolumnar and aerogel‐like TiO 2 films are antireflective and enhance optical and electronic properties, leading to improved PSC efficiency (champion PCE = 14.6%) comparable to high‐temperature processed devices. The devices are based on a 3D organometal perovskite with mixed cations (MA, FA, Cs, Rb) and halides (I, Br), with a nominal composition of (Rb 0.03 Cs 0.03 FA 0.69 MA 0.25 )(PbI 3 ) 0.83 (PbBr 3 ) 0.17 . Our results highlight the potential of RPAVD+SPE for producing low‐temperature ETLs, offering a feasible, industrially scalable solution for flexible, high‐performance photovoltaics.
- Research Article
- 10.1063/5.0301443
- Oct 13, 2025
- Applied Physics Letters
- Shozo Kono + 6 more
In an attempt to realize diamond-based p–n heterojunctions for high-power and high-frequency electronic devices, fabrication and characterization of heterojunctions of wurtzite oxynitride of AlGaON(0001) layers on diamond (111) substrates were performed. Thin layers of oxynitride of AlON (2 nm) and AlGaON (15–100 nm) were fabricated on a diamond (111) substrate using remote RF plasma with a source gas of N2(99%) and O2(1%) and Knudsen effusion sources of Al and Ga, and a solid source of Si (n-type dopant). Atomic force microscopy, reflection high energy electron diffraction, high-resolution transmission electron microscopy (TEM) images, and energy dispersive spectroscopic spectra in TEM of the oxynitride layers showed an epitaxial growth to the substrate with grain sizes of 10–100 nm. X-ray photoelectron spectroscopy results of the AlON (2 nm) and AlGaON (15 nm) layers revealed favorable type-I band alignments toward the diamond substrate. Hall-effect measurements showed an n-type nature of AlGaON(100 nm) layers and ∼1000 times larger conductivity than that of the highest conducting n-type diamond. Thus, the possibility of diamond-based p–n heterojunction for high-power and high-frequency electronic devices is shown.
- Research Article
- 10.3390/coatings15101179
- Oct 8, 2025
- Coatings
- Agnieszka Walkiewicz-Pietrzykowska + 4 more
The development of high-speed computers and electronic memories, high-frequency communication networks, electroluminescent and photovoltaic devices, flexible displays, and more requires new materials with unique properties, such as a low dielectric constant, an adjustable refractive index, high hardness, thermal resistance, and processability. SiOC coatings possess a number of desirable properties required by modern technologies, including good heat and UV resistance, transparency, high electrical insulation, flexibility, and solubility in commonly used organic solvents. Chemical vapor deposition (CVD) is a very useful and convenient method to produce this type of layer. In this article we present the results of studies on SiOC coatings obtained from tetramethylcyclotetrasiloxane in a remote hydrogen plasma CVD process. The elemental composition (XPS, EDS) and chemical structure (FTIR and NMR spectroscopy-13C, 29Si) of the obtained coatings were investigated. Photoluminescence analyses and ellipsometric and thermogravimetric measurements were also performed. The surface morphology was characterized using AFM and SEM. The obtained results allowed us to propose a mechanism for the initiation and growth of the SiOC layer.
- Research Article
- 10.29279/jitr.k.2025.30.3.263
- Sep 30, 2025
- Korea Industrial Technology Convergence Society
- Kyoung-Ho Kim + 1 more
Owing to the advancement and miniaturization of three-dimensional NAND semiconductor processes, the demand for NF₃ gas used in PECVD chamber cleaning has increased significantly. However, supply shortages and high material costs have increased process expenses. This study presents a method for improving the radical transfer efficiency of fluorine and reducing NF₃ gas consumption by optimizing the remote plasma generator waveguide diameter from the conventional ∅40 mm to ∅25 and ∅16 mm. Based on Bernoulli's principle and the Venturi effect, we analyzed the fluid dynamics inside the waveguide and quantitatively evaluated the changes in cleaning efficiency as a function of waveguide diameter through real-time monitoring using a residual gas analyzer and wafer etch rate measurements. Experimental results show that changing the waveguide diameter to ∅25 mm improved the etch rate by 35% on average, whereas adopting a Venturi adapter combined with a temperature -control module afforded an additional 15% improvement in efficiency, thus resulting in a total NF₃ gas reduction of up to 50%. This study proposes practical solutions that can contribute to cost reduction and reduced environmental impact in semiconductor-manufacturing processes.
- Research Article
- 10.3390/nano15191469
- Sep 25, 2025
- Nanomaterials (Basel, Switzerland)
- Jiayang Li + 3 more
The paradigm shift from FinFET to gate-all-around nanosheet (GAA-NS) transistor architectures necessitates fundamental innovations in channel material engineering. This work addresses the critical challenge of pFET performance degradation in GAA-NS technologies through the development of an advanced selective etching process for strain-engineered SiGe channel formation. We present a systematic investigation of Si selective etching using CF4/O2/N2 gas mixture in a remote plasma source reactor. It is demonstrated that the addition of N2 to CF4/O2 plasmas significantly improves the selectivity of Si to SiGe (up to 58), by promoting NO* radical-induced passivation layer disruption on Si surfaces. Furthermore, an increase in the F:O ratio has been shown to mitigate stress-induced lateral micro-trenching ("Si-tip"), achieving near-zero tip length at high CF4 flow (500 sccm) while retaining selectivity (>40). Transmission electron microscopy and energy-dispersive X-ray spectroscopy confirm the complete removal of the Si sacrificial layer with minimal SiGe channel loss, validating the process for high-performance SiGe GAA-NS FET integration. These findings provide critical insights into strain-engineered SiGe channel fabrication, enabling balanced NFET/PFET performance in next-generation semiconductor technologies.
- Research Article
- 10.1021/acsami.5c07264
- Sep 22, 2025
- ACS applied materials & interfaces
- Jose Manuel Obrero + 11 more
Vanadium dioxide (VO2) is a thermochromic material that undergoes a phase transition from a monoclinic semiconducting state to a rutile metallic state at 68 °C, a temperature close to room temperature. This property makes VO2 particularly valuable in applications such as optical and electrical switches, data storage, neuromorphic computing, and remarkably dynamic smart windows for solar radiation control. VO2 typically needs to be synthesized for these applications as nanostructured thin films. Over the past few decades, significant efforts have been made to control the thermochromic properties of VO2 through crystal structure tuning, doping, and the development of VO2 nanocomposites. Additionally, introducing nano- and mesoporosity has been shown to enhance the optical properties of thermochromic VO2 films. This study presents a methodology for producing highly porous, aerogel-like V2O5 films, which can be thermally processed to form aerogel-like VO2 films. This process is based on sequential plasma polymerization and plasma etching to produce aerogel-like V2O5 films that are annealed to yield ultraporous nanocrystalline VO2 films. The sacrificial vanadium-containing plasma polymers are obtained by remote plasma-assisted vacuum deposition (RPAVD) using vanadyl porphyrin as a precursor and Ar as plasma gas. Additional reference compact films VO2 films are obtained by a direct RPAVD process using the same precursor and oxygen plasmas in combination with thermal annealing. The aerogel-like VO2 films show exceptional thermochromic performance with luminous transmittances higher than 54%, solar modulation up to 18.8%, and IR modulation up to 35.5%. The presented plasma methodology is versatile, allowing both the synthesis of VO2 plasmonic structures to enhance the thermochromic response and the encapsulation of films to improve their stability in air dramatically. Additionally, this solvent-free synthetic method is fully compatible with doping procedures, scalable, and holds great potential for designing and optimizing smart window coatings.
- Research Article
- 10.1021/acs.chemmater.5c01881
- Sep 3, 2025
- Chemistry of Materials
- Maria Gabriela Sales + 10 more
High purity aluminum in its bulk form has intrinsicallyhigh reflectancein the far-ultraviolet (FUV) regime and finds utility in astrophysicalinstrumentation applications. However, bulk Al oxidizes rapidly inthe atmosphere, and its native oxide strongly absorbs and severelydegrades the observed FUV properties relative to bare Al. Varioustechniques have been investigated to produce coatings that inhibitaluminum oxide formation and lead to high FUV mirror reflectance.This work examines the development and use of a uniquely modified,hybrid plasma-enhanced atomic layer deposition (PEALD) system to passivatealuminum mirrors with metal fluoride films. This system combines twoplasma sources in a commercial atomic layer deposition (ALD) reactor.The first is a conventional inductively coupled plasma (ICP) sourceoperated as a remote plasma, and the second is an electron beam (e-beam)driven plasma near the mirror surface. To establish the operatingconditions for the in situ e-beam plasma source, the effects of samplegrounding, SF6/Ar flow, and sample temperature on resultingAlF3 films were investigated. Optimal operating conditionsproduced mirrors with excellent FUV reflectivity, 92% at 121 nm and42% at 103 nm wavelengths, which is comparable to state-of-the-artAlF3-based passivation coatings and matches that of previouslyreported ex situ e-beam plasma-processed mirrors. This optimized insitu e-beam process, along with XeF2 passivation, is thenexplored to produce a clean seed layer (unoxidized Al surface) forsubsequent PEALD of AlF3. Both approaches are demonstratedas valid pretreatments before PEALD of AlF3, showing apromising pathway for the deposition of other fluoride-based layers,such as MgF2 or LiF, with ALD or PEALD.
- Research Article
- 10.3389/fcvm.2025.1631222
- Aug 29, 2025
- Frontiers in Cardiovascular Medicine
- Gizem Bingöl + 8 more
Remote ischemic preconditioning (RIPC) has been shown in several experimental studies as an organ protective procedure against ischemic injury, but the implementation of RIPC into routine clinical practice has so far failed due to contradictory study results. However, in order to identify patient groups that could benefit from RIPC, numerous clinical trials have been initiated, but only one study with patients undergoing heart transplantation (HTX). In HTX patients, RIPC appears to be cardioprotective when used immediately before surgery, while it has not been investigated whether the cardioprotective effect of RIPC is longer lasting. Therefore, this study assessed if a RIPC procedure prior to HTX has a cardioprotective potential in a later time window. To avoid masking a potential cardioprotective effect of RIPC in HTX patients by reduced susceptibility to cardioprotective signals due to comorbidities and medications in these patients, this study investigates the protective potential of this plasma in healthy young rats. Thus, male HTX patients were treated with a sham or a RIPC procedure (3 cycles with 5 min inflating/deflating) via a blood pressure cuff at the left upper limb prior surgery. After HTX, blood was collected at arrival on intensive care unit, 24 and 48 h post-surgery. The isolated plasma was transferred to isolated-perfused rat hearts before induction of ischemia/reperfusion injury. Cardiac function was determined by left ventricular pressure measurements and infarct size by triphenyltetrazolium chloride staining. In all measurements, no differences were observed between the sham- or RIPC plasma-treated groups at the respective time points. This suggests that RIPC plasma from HTX patients, at least in the experimental setup used, has no cardioprotective potential at later time points. This lack of effect could for instance be explained by either no or an insufficient amount of cardioprotective signals are produced or/and released into the blood following the RIPC procedure and needs to be explored in future studies.
- Research Article
- 10.1088/1361-6528/adf8f6
- Aug 14, 2025
- Nanotechnology
- Suyoung Jang + 5 more
In this study, a Cleaning Endpoint Evaluation Sensor (CEES) was developed and applied to improve the monitoring accuracy and uniformity control in the cleaning processes for NF3-based remote plasma sources in semiconductor equipment. Although an increase in the chamber pressure increased the density of fluorine radicals and improved the overall etch reactivity, significant cleaning nonuniformity was observed in structurally limited regions, such as the substrate bottom, where the reactive species failed to reach sufficiently. Conventional diagnostic methods such as optical emission spectroscopy (OES) are limited in their ability to assess cleaning completion in such dead volume regions. In contrast, the proposed CEES directly measured the removal status of thin films mimicking actual process residues, providing real-time and spatially resolved endpoint evaluations. The CEES showed a strong correlation with the actual etch behavior and successfully identified regions where cleaning was incomplete, despite OES-based endpoint detection. The results revealed that the CEES can serve as an effective tool for monitoring the cleaning uniformity and determining the true endpoint of plasma-cleaning processes. This approach has the potential for integration into advanced diagnostic platforms to enhance the reliability and efficiency of semiconductor manufacturing.
- Research Article
- 10.3390/ma18153547
- Jul 29, 2025
- Materials (Basel, Switzerland)
- Ha-Jung Kim + 4 more
In this study, Hf0.5Zr0.5O2 (HZO) thin-films were deposited using a Co-plasma atomic layer deposition (CPALD) process that combined both remote plasma and direct plasma, for the development of ferroelectric memory devices. Ferroelectric capacitors with a symmetric hybrid TiN/W/HZO/W/TiN electrode structure, incorporating W electrodes as insertion layers, were fabricated. Rapid thermal annealing (RTA) was subsequently employed to control the crystalline phase of the films. The electrical and structural properties of the capacitors were analyzed based on the RTA temperature, and the presence, thickness, and position of the W insertion electrode layer. Consequently, the capacitor with 5 nm-thick W electrode layers inserted on both the top and bottom sides and annealed at 700 °C exhibited the highest remnant polarization (2Pr = 61.0 μC/cm2). Moreover, the symmetric hybrid electrode capacitors annealed at 500-600 °C also exhibited high 2Pr values of approximately 50.4 μC/cm2, with a leakage current density of approximately 4 × 10-5 A/cm2 under an electric field of 2.5 MV/cm. The findings of this study are expected to contribute to the development of electrode structures for improved performance of HZO-based ferroelectric memory devices.
- Research Article
- 10.1063/5.0267873
- Jul 9, 2025
- Journal of Applied Physics
- Yu Zhang + 4 more
This paper investigates the discharge characteristics in a radio frequency inductively coupled multi-driven remote plasma source using double-probe experimental diagnostics and two-dimensional fluid simulation. It is found that the plasma density decreases from the center of the driver to the afterglow region due to the diffusion transport. As the pressure decreases, the plasma density gradually decreases in the driver but increases in the afterglow region. It indicates that the enhanced nonlocality at low pressure facilitates the plasma transport from the driver to the afterglow region. For double-driven discharges, the plasma density in the afterglow region can be effectively increased by reducing the spacing between the two drivers. The adjustment for the power, pressure, or spacing between the two drivers achieves the improvement of the plasma uniformity in the afterglow region. The plasma uniformity was barely improved by four drivers connected to one power source but markedly increased with two power sources.
- Research Article
- 10.1002/ppap.70054
- Jul 1, 2025
- Plasma Processes and Polymers
- Runze Liang + 8 more
ABSTRACTPlasma delivery through endoscopic systems enables remote plasma applications but is constrained by limited understanding of discharge dynamics. We develop a self‐consistent plasma‐fluid model coupled with dynamic Drude formulation to capture the coupling between metallic electrode and ionization wave during plasma discharge. Simulations reveal an asynchronous two‐stage discharge: an internal ionization wave (IIW) forms a conductive channel, followed by a tip ionization wave (TIW) at the electrode apex. The coupling mechanism between IIW and TIW through the conductive channel is identified, achieving remote plasma generation and modulation in a bronchial phantom. These insights establish a framework for spatially controlled plasma production and provide a foundation for advancing plasma‐based applications, including Interventional tumor ablation, Pipe sterilization, and targeted surface modification.
- Research Article
- 10.1002/adfm.202505106
- Jun 27, 2025
- Advanced Functional Materials
- Tim F Rieth + 8 more
Abstract Direct solar water splitting is a promising approach for sustainably producing hydrogen, but significant materials challenges must be overcome to achieve high efficiency and long‐term stability. This work demonstrates a tailored interface treatment combined with multifunctional surface coatings that significantly enhance the efficiency and lifetime of GaAs/GaInP tandem cells capable of unassisted solar water splitting. In particular, it is shown that exposure of the top AlInP window layer to a remote H2 plasma effectively reduces the interfacial oxide, enhancing charge extraction and maximizing the available photovoltage. Subsequent atomic layer deposition (ALD) of a bilayer coating comprising a TiO2 corrosion protection layer and Pt nanoparticles enhances the durability of the device, enables efficient electron extraction, and provides high catalytic activity. By tuning the Pt ALD process, a nanoparticulate morphology is achieved, ensuring high catalytic activity at low loading, thus minimizing parasitic light absorption and improving adhesion. The optimized dual‐junction photoelectrode achieves an initial maximum solar‐to‐hydrogen (STH) conversion efficiency of 17.1%, stabilizing at 16.2% for 170 min of continuous operation. Importantly, the tailored interfaces of the device result in a considerable photovoltage surplus, providing a route to systems offering higher STH efficiencies or for integration of Group III‐V semiconductor‐on‐Si tandems.
- Research Article
- 10.3390/ma18122911
- Jun 19, 2025
- Materials
- Agnieszka Walkiewicz-Pietrzykowska + 6 more
Silicon oxycarbide coatings are the subject of research due to their exceptional optical, electronic, anti-corrosion, etc., properties, which make them attractive for a number of applications. In this article, we present a study on the synthesis and characterization of thin SiOC:H silicon oxycarbide films with the given composition and properties from a new organosilicon precursor octamethyl-1,4-dioxatetrasilacyclohexane (2D2) and its macromolecular equivalent—poly(oxybisdimethylsily1ene) (POBDMS). Layers from 2D2 precursor with different SiOC:H structure, from polymeric to ceramic-like, were produced in the remote microwave hydrogen plasma by CVD method (RHP-CVD) on a heated substrate in the temperature range of 30–400 °C. SiOC:H polymer layers from POEDMS were deposited from solution by spin coating and then crosslinked in RHP via the breaking of the Si-Si silyl bonds initiated by hydrogen radicals. The properties of SiOC:H layers obtained by both methods were compared. The density of the cross-linked materials was determined by the gravimetric method, elemental composition by means of XPS, chemical structure by FTIR spectroscopy, and NMR spectroscopy (13C, 29Si). Photoluminescence analyses and ellipsometric measurements were also performed. Surface morphology was characterized by AFM. Based on the obtained results, a mechanism of initiation, growth, and cross-linking of the CVD layers under the influence of hydrogen radicals was proposed.
- Research Article
- 10.1007/s11090-025-10577-w
- Jun 9, 2025
- Plasma Chemistry and Plasma Processing
- Mathin Jaikua + 7 more
Development and Characterization of Pilot-Scale Remote Cold Plasma Treatment (RCPT) System for Fruit Surface Decontamination
- Research Article
- 10.1149/2162-8777/addc9a
- Jun 1, 2025
- ECS Journal of Solid State Science and Technology
- Byeong Hwa Jeong + 4 more
This study investigates the effects of nitrogen (N2) and oxygen (O2) plasma, in addition to argon (Ar)/hydrogen (H2) plasma, during remote plasma cleaning (RPC) in back-end-of-line (BEOL) integration, particularly for Cu–Mn/Co/TaN barrier-metal stacks deposited on SiOCH low-k dielectrics. The primary objective is to evaluate the role of these plasmas in removing Cu–Mn surface oxides (e.g., Cu2O, CuO, MnOx) from the materials while minimizing damage to the underlying SiOCH layer. Our results show that O2 and N2 plasma treatments induce changes in the bonding structure of low-k materials by depleting carbon atoms and forming Si-OH bonds, leading to increased dielectric constant and moisture uptake. The dielectric constant (k-value) increased from 2.87 ± 0.05 (pristine SiOCH) to 3.00 ± 0.05 after Ar/H2/O2 treatment, whereas after the Ar/H2/N2 treatment, a stable k-value of 2.86 ± 0.05 was maintained, indicating a lower degree of plasma-induced damage. Furthermore, depth profile analyses using time-of-flight secondary ion mass spectrometry and X-ray photoelectron spectroscopy confirmed that Ar/H2/N2 plasma effectively reduced oxygen diffusion into the Cu–Mn/TaN barrier layers, thereby mitigating oxidation-related reliability concerns during BEOL processing.
- Research Article
- 10.3390/nano15110783
- May 23, 2025
- Nanomaterials
- Jiwon Kim + 6 more
Dynamic random-access memory (DRAM) is a vital component in modern computing systems. Enhancing memory performance requires maximizing capacitor capacitance within DRAM cells, which is achieved using high-k dielectric materials deposited as thin, uniform films via atomic layer deposition (ALD). Precise film deposition that minimizes electronic defects caused by charged vacancies is essential for reducing leakage current and ensuring high dielectric strength. In this study, we fabricated metal–insulator–metal (MIM) capacitors in high-aspect-ratio trench structures using remote plasma ALD (RP-ALD) and direct plasma ALD (DP-ALD). The trenches, etched into silicon, featured a 7:1 aspect ratio, 76 nm pitch, and 38 nm critical dimension. We evaluated the electrical characteristics of HfO2-based capacitors with TiN top and bottom electrodes, focusing on leakage current density and equivalent oxide thickness. Capacitance–voltage analysis and X-ray photoelectron spectroscopy (XPS) revealed that RP-ALD effectively suppressed plasma-induced damage, reducing defect density and leakage current. While DP-ALD offered excellent film properties, it suffered from degraded lateral uniformity due to direct plasma exposure. Given its superior lateral uniformity, lower leakage, and defect suppression, RP-ALD shows strong potential for improving DRAM capacitor performance and serves as a promising alternative to the currently adopted thermal ALD process.
- Research Article
- 10.1159/000545794
- Apr 24, 2025
- Transfusion Medicine and Hemotherapy
- Rainer Moog + 2 more
Background and Objectives: Blood donor release prior to donation by a physician is mandatory in Germany according to current guidelines. Due to shortage of qualified staff, the German Transfusion Act was modified allowing the use of telemedicine. The aim of the present study was to investigate the feasibility of remote plasma donor release with respect to donor acceptance and safety. Study Design and Methods: In September and October 2024, remote donor release was studied in two plasmapheresis centres. Physicians not present in the participating centres digitally evaluated donor eligibility and released donors for plasma donation. Donors were asked about the procedure by means of a questionnaire after donation. Additionally, staff had the possibility to rate the procedure at the end of the study. Donor adverse events (AEs) were recorded and their severity and causality related to plasmapheresis were rated. Results: A total of 243 donors fulfilled the inclusion criteria and were included in the study. Rating of donation safety by donors as well as future use of telemedicine procedures was fine. Staff also welcomed the introduction of telemedicine. The possible time saving of waiting time due to the use of telemedicine was appreciated. One mild AE was reported and the rate of AEs was 0.41%. Conclusion: Our pilot study shows that remote release of plasma donors is feasible and accepted by both donors and staff. There were no concerns about donation safety.
- Research Article
3
- 10.1016/j.apsusc.2024.162250
- Apr 1, 2025
- Applied Surface Science
- Amar K Salih + 5 more
Defect passivation and enhanced UV emission in β-Ga2O3 via remote fluorine plasma treatment