Abstract

A novel atomic force microscope (AFM) dual-probe caliper for critical dimension (CD) metrology has been developed. The caliper is equipped with two facing tilted optical fiber probes (OFPs) wherein each can be used independently to scan either sidewall of micro and nanostructures. The OFP tip with length up to 500μm (aspect ratio 10:1, apex diameter ⩾10nm) has unique features of scanning deep trenches and imaging sidewalls of relatively high steps with exclusive profiling possibilities. The caliper arms–OFPs can be accurately aligned with a well calibrated opening distance. The line width, line edge roughness, line width roughness, groove width and CD angles can be measured through serial scan of adjacent or opposite sidewalls with each probe. Capabilities of the presented AFM caliper have been validated through experimental CD measurement results of comb microstructures and AFM calibration grating TGZ3.

Full Text
Paper version not known

Talk to us

Join us for a 30 min session where you can share your feedback and ask us any queries you have

Schedule a call

Disclaimer: All third-party content on this website/platform is and will remain the property of their respective owners and is provided on "as is" basis without any warranties, express or implied. Use of third-party content does not indicate any affiliation, sponsorship with or endorsement by them. Any references to third-party content is to identify the corresponding services and shall be considered fair use under The CopyrightLaw.