Abstract

A post-fabrication dual supply voltage (V DD ) control (PDVC) of multiple voltage domains is proposed for a minimum operating voltage (V DDmin )-limited ultra low voltage logic circuits. PDVC effectively reduces an average V DD below V DDmin , thereby reducing the power consumption of logic circuits. PDVC is applied to a DES CODEC'S circuit fabricated in 65nm CMOS. The layout of DES CODEC'S is divided into 64 V DD domains and each domain size is 54µm × 63.2µm. High V DD (V DDH ) or low V DD (V DDL ) is applied to each domain and the selection of V DD 's is performed based on multiple built-in self tests. V DDH is selected in V DDmin -critical domains, while V DDL is selected in V DDmin -non-critical domains. A maximum 24% power reduction was measured with the proposed PDVC at 300kHz, V DDH = 3D437mV, and V DDL = 3D397mV.

Full Text
Paper version not known

Talk to us

Join us for a 30 min session where you can share your feedback and ask us any queries you have

Schedule a call

Disclaimer: All third-party content on this website/platform is and will remain the property of their respective owners and is provided on "as is" basis without any warranties, express or implied. Use of third-party content does not indicate any affiliation, sponsorship with or endorsement by them. Any references to third-party content is to identify the corresponding services and shall be considered fair use under The CopyrightLaw.